Knowledge

Photomask

Source πŸ“

375:
error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.
388:
patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths. Current pellicles are made from polysilicon, and companies are exploring other materials for high-NA EUV and future chip making processes.
20: 392: 28: 178: 94:)) and the unwanted rubylith was peeled off by hand, forming the master image of that layer of the chip, often called "artwork". Increasingly complex and thus larger chips required larger and larger rubyliths, eventually even filling the wall of a room, and artworks were to be photographically reduced to produce photomasks (Eventually this whole process was replaced by the 383:
The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing
374:
Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask
119:
off the wafer and onto the photomask and it had to be cleaned or discarded. This drove the adoption of reverse master photomasks (see above), which were used to produce (with contact photolithography and etching) the needed many actual working photomasks. Later, projection photo-lithography meant
346:
has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film. During manufacturing, inspection using a special form of
387:
Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pellicle – a thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask
299:" from position to position under the optical column or the stepper lens until full exposure of the wafer is achieved. A photomask with several copies of the integrated circuit design is used to reduce the number of steppings required to expose the entire wafer, thus increasing productivity. 181:
A simulated photomask. The thicker features are the integrated circuit that is desired to be printed on the wafer. The thinner features are assists that do not print themselves but help the integrated circuit print better out-of-focus. The zig-zag appearance of the photomask is because
291:
circuit. (However, some photolithography fabrications utilize reticles with more than one layer placed side by side onto the same mask, used as copies to create several identical integrated circuits from one photomask). In modern usage, the terms reticle and photomask are synonymous.
338:
found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools. One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging.
384:
an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask".
107:
The reticle was by step-and-repeater photolithography and etching used to produce a photomask with image-size the same as the final chip. The photomask might be used directly in the fab or be used as master-photomask to produce the final actual working photomasks.
70:. A curvilinear photomask has patterns with curves, which is a departure from conventional photomasks which only have patterns that are completely vertical or horizontal, known as manhattan geometry. These photomasks require special equipment to manufacture. 309:
to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed
275:. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. The mask covered the entire surface of the wafer which was exposed in its entirety in one shot. This was the standard for the 1:1 500:. As many as 30 masks (of varying price) may be required to form a complete mask set. As modern chips are built in several layers stacked on top of each other, at least one mask is required for each of these layers. 418:
Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info):
796: 314:
so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is
884: 490:
The worldwide photomask market was estimated as $ 3.2 billion in 2012 and $ 3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers).
295:
In a modern stepper or scanner, the pattern in the photomask is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly "
334:, photomask features that are 4Γ— larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque. A 2005 study by 849: 347:
microscopy called CD-SEM (Critical-Dimension Scanning Electron Microscopy) is used to measure critical dimensions on photomasks which are the dimensions of the patterns on a photomask.
95: 287:
with reduction optics. As used in steppers and scanners which use image projection, the reticle commonly contains only one copy, also called one layer of the designed
945: 1037: 1192:
Capital-intensive industry. Investment levels….. – ~$ 40M for 'conventional' (180-nm node or above) – >$ 100M for 'advanced' (130-nm node and beyond)
493:
The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $ 40 million, and for 130 nm - more than $ 100 million.
1175: 729: 1260: 319:, and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as 514: 976: 1205: 213:, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm ( 43:) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in 66:
In photolithography, several masks are used in turn, each one reproducing a layer of the completed design, and together known as a
1255: 883:
Eom, Tae-Seung; Lim, Chang M.; Kim, Seo-Min; Kim, Hee-Bom; Oh, Se-Young; Ma, Won-Kwang; Moon, Seung-Chan; Shin, Ki S. (2003).
1061:
Hughes, Greg; Henry Yun (2009-10-01). "Mask industry assessment: 2009". In Zurbrick, Larry S.; Montgomery, M. Warren (eds.).
780: 705: 675: 649: 1024: 770: 952: 665: 639: 472: 111:
As feature size shrank, the only way to properly focus the image was to place it in direct contact with the wafer. These
931: 355: 754: 237: 214: 98:
to produce the master image). At this point the master image could be arrayed into a multi-chip image called a
288: 183: 525: 86:
sheet was used. The design of one layer was cut into the rubylith, initially by hand on an illuminated
496:
The purchase price of a photomask, in 2006, could range from $ 250 to $ 100,000 for a single high-end
885:"Comparative study of chromeless and attenuated phase shift mask for 0.3-k 1 ArF lithography of DRAM" 509: 152: 23:
A photomask. This photomask has 20 copies, also called layers, of the same circuit pattern or design.
1184: 1114: 625: 276: 343: 1066: 302: 160: 1177:
An Analysis of the Economics of Photomask Manufacturing Part – 1: The Economic Environment
8: 1237: 1025:
https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/what-is-high-na-euv
423: 249: 112: 1174:
Weber, Charles M.; Berglund, C. Neil (February 9, 2005). "The Mask Shop's Perspective".
1070: 1228: 1102: 1090: 900: 865: 723: 607: 260: 172: 48: 31:
A schematic illustration of a photomask (top) and an IC layer printed using it (bottom)
1082: 970: 904: 869: 809: 776: 750: 711: 701: 671: 645: 599: 578:
Diaz, S.L.M.; Fowler, J.W.; Pfund, M.E.; Mackulak, G.T.; Hickie, M. (November 2005).
554: 480: 148: 1094: 611: 358:
work by reflecting light, which is achieved by using multiple alternating layers of
1232: 1220: 1074: 892: 857: 591: 580:"Evaluating the Impacts of Reticle Requirements in Semiconductor Wafer Fabrication" 497: 439: 306: 229:; but these require entirely new materials for the substrate and the pattern film. 44: 744: 520: 464: 456: 256: 199: 391: 163:
systems which generate reticles directly from the original computerized design.
1206:"Mask Cost and Profitability in Photomask Manufacturing: An Empirical Analysis" 579: 535: 530: 434: 87: 810:
Lithography experts back higher magnification in photomasks to ease challenges
715: 1249: 1086: 603: 484: 327: 233: 151:
were introduced. The original pattern generators have since been replaced by
132: 1224: 595: 695: 252:
techniques, a photomask would correspond to a subset of the layer pattern.
191: 140: 136: 284: 245: 124:
photo-lithography used reticles directly and ended the use of photomasks.
19: 116: 850:"Chromeless phase-shifted masks: A new approach to phase-shifting masks" 359: 331: 128: 1078: 896: 848:
Toh, Kenny K.; Dao, Giang T.; Singh, Rajeev R.; Gaw, Henry T. (1991).
861: 405: 222: 210: 1129: 120:
photomask lifetime was indefinite. Still later direct-step-on-wafer
27: 415: 195: 144: 79: 1153:"SEMI Reports 2013 Semiconductor Photomask Sales of $ 3.1 Billion" 104:. The reticle was originally a 10X larger image of a single chip. 1152: 476: 363: 296: 280: 241: 177: 91: 56: 1130:"Semiconductor Photomask Market: Forecast $ 3.5 Billion in 2014" 209:
metal absorbing film. Photomasks are used at wavelengths of 365
59:). In semiconductor manufacturing, a mask is sometimes called a 428: 311: 1203: 1015:
W-H. Cheng and J. Farnsworth, Proc. SPIE 6607, 660724 (2007).
452: 399: 218: 156: 83: 52: 1204:
Weber, C.M; Berglund, C.N.; Gabella, P. (13 November 2006).
743:
Rizvi, Syed (2005). "1.3 The Technology History of Masks".
468: 411: 335: 226: 1183:. ISMT Mask Automation Workshop. p. 6. Archived from 78:
For IC production in the 1960s and early 1970s, an opaque
932:"CD-SEM: Critical-Dimension Scanning Electron Microscope" 460: 323:, and is the most popular strong enhancement technique. 577: 369: 414:
Annual Conference, Photomask Technology reports the
1150: 263:devices, there was a distinction between the term 190:Lithographic photomasks are typically transparent 127:Photomask materials changed over time. Initially 1247: 1213:IEEE Transactions on Semiconductor Manufacturing 584:IEEE Transactions on Semiconductor Manufacturing 483:, have their own large maskmaking facilities or 51:(ICs or "chips") to produce a pattern on a thin 1060: 305:150 nm or below in size generally require 847: 828: 746:Handbook of Photomask Manufacturing Technology 667:Handbook of Photomask Manufacturing Technology 1173: 1151:Tracy, Dan; Deborah Geiger (April 14, 2014). 1065:. Vol. 7488. pp. 748803–748803–13. 1035: 248:, and individually selected for exposure. In 194:plates covered with a pattern defined with a 882: 515:Integrated circuit layout design protection 186:was applied to it to create a better print. 728:: CS1 maint: location missing publisher ( 644:. John Wiley & Sons. 29 October 2010. 400:Leading commercial photomask manufacturers 911: 815: 255:Historically in photolithography for the 1127: 390: 176: 26: 18: 1132:. SEMI Industry Research and Statistics 693: 1248: 975:: CS1 maint: archived copy as title ( 891:. Vol. 5040. pp. 1310–1320. 626:"The Quest for Curvilinear Photomasks" 742: 854:10th Annual Symp on Microlithography 689: 687: 370:Mask error enhancement factor (MEEF) 1261:Semiconductor fabrication equipment 487:with the abovementioned companies. 321:alternating-aperture phase-shifting 236:, each defining a pattern layer in 13: 856:. Vol. 1496. pp. 27–53. 350: 82:film laminated onto a transparent 14: 1272: 993:, Proc. SPIE 7140, 714007 (2008). 684: 240:, is fed into a photolithography 641:Introduction to Microfabrication 1197: 1167: 1144: 1121: 1054: 1038:"Optical behavior of pellicles" 1036:Chris A. Mack (November 2007). 1029: 1018: 1009: 996: 983: 938: 924: 921:, vol. 5992, pp. 306-316 (2005) 876: 841: 838:, vol. 5853, pp. 243-251 (2005) 803: 700:. Ankaj Gupta. Abingdon, Oxon. 1256:Lithography (microfabrication) 1128:Chamness, Lara (May 7, 2013). 1006:, Proc. SPIE 5256, 673 (2003). 825:, vol. 4889, pp. 50-58 (2002). 789: 763: 736: 697:Integrated circuit fabrication 658: 632: 618: 571: 547: 238:integrated circuit fabrication 1: 670:. CRC Press. 3 October 2018. 541: 395:Pellicle Mounting Machine MLI 889:Optical Microlithography XVI 852:. In Wiley, James N. (ed.). 378: 184:optical proximity correction 147:which has better opacity to 7: 1238:doi:10.1109/TSM.2006.883577 526:Nanochannel glass materials 503: 166: 10: 1277: 749:. CRC Press. p. 728. 403: 170: 143:to control expansion, and 73: 1063:Photomask Technology 2009 887:. In Yen, Anthony (ed.). 772:Principles of Lithography 694:Shubham, Kumar n (2021). 510:Computational lithography 451:Major chipmakers such as 317:attenuated phase-shifting 153:electron beam lithography 115:often lifted some of the 96:optical pattern generator 1225:10.1109/TSM.2006.883577 596:10.1109/TSM.2005.858502 555:"Reticle Manufacturing" 444:Taiwan Mask Corporation 279:that were succeeded by 159:-driven mask writer or 1042:Microlithography World 396: 187: 47:for the production of 39:(also simply called a 32: 24: 16:Photolithographic tool 834:M. Yoshizawa et al., 394: 344:immersion lithography 180: 55:of material (usually 30: 22: 775:. SPIE Press. 2005. 161:maskless lithography 1071:2009SPIE.7488E..03H 917:C. A. Mack et al., 424:Dai Nippon Printing 90:(later by machine ( 49:integrated circuits 397: 261:integrated circuit 188: 173:Photographic plate 33: 25: 1240:; page 23 table 1 1079:10.1117/12.832722 897:10.1117/12.485452 782:978-0-8194-5660-1 707:978-1-000-39644-7 677:978-1-4200-2878-2 651:978-1-119-99189-2 481:Micron Technology 342:The emergence of 234:set of photomasks 149:ultraviolet light 1268: 1241: 1236: 1210: 1201: 1195: 1194: 1189: 1182: 1171: 1165: 1164: 1162: 1160: 1148: 1142: 1141: 1139: 1137: 1125: 1119: 1118: 1112: 1108: 1106: 1098: 1058: 1052: 1051: 1049: 1048: 1033: 1027: 1022: 1016: 1013: 1007: 1000: 994: 987: 981: 980: 974: 966: 964: 963: 957: 951:. Archived from 950: 942: 936: 935: 928: 922: 915: 909: 908: 880: 874: 873: 862:10.1117/12.29750 845: 839: 832: 826: 821:Y. Sato et al., 819: 813: 807: 801: 800: 793: 787: 786: 767: 761: 760: 740: 734: 733: 727: 719: 691: 682: 681: 662: 656: 655: 636: 630: 629: 628:. 15 April 2021. 622: 616: 615: 575: 569: 568: 566: 565: 551: 517:(or "Mask work") 498:phase-shift mask 440:Hoya Corporation 326:As leading-edge 250:multi-patterning 113:contact aligners 45:photolithography 1276: 1275: 1271: 1270: 1269: 1267: 1266: 1265: 1246: 1245: 1244: 1208: 1202: 1198: 1190:on 2016-03-03. 1187: 1180: 1172: 1168: 1158: 1156: 1149: 1145: 1135: 1133: 1126: 1122: 1110: 1109: 1100: 1099: 1059: 1055: 1046: 1044: 1034: 1030: 1023: 1019: 1014: 1010: 1001: 997: 988: 984: 968: 967: 961: 959: 955: 948: 946:"Archived copy" 944: 943: 939: 930: 929: 925: 916: 912: 881: 877: 846: 842: 833: 829: 820: 816: 812:// EETimes 2000 808: 804: 795: 794: 790: 783: 769: 768: 764: 757: 741: 737: 721: 720: 708: 692: 685: 678: 664: 663: 659: 652: 638: 637: 633: 624: 623: 619: 576: 572: 563: 561: 553: 552: 548: 544: 521:Mask inspection 506: 457:Globalfoundries 408: 402: 381: 372: 353: 351:EUV lithography 271:, and the term 257:mass production 207: 203: 175: 169: 135:opacity. Later 76: 17: 12: 11: 5: 1274: 1264: 1263: 1258: 1243: 1242: 1219:(4): 465–474. 1196: 1166: 1143: 1120: 1111:|journal= 1053: 1028: 1017: 1008: 995: 982: 937: 923: 910: 875: 840: 827: 814: 802: 788: 781: 762: 755: 735: 706: 683: 676: 657: 650: 631: 617: 590:(4): 622–632. 570: 545: 543: 540: 539: 538: 536:Stepping level 533: 531:SMIF interface 528: 523: 518: 512: 505: 502: 485:joint ventures 449: 448: 445: 442: 437: 435:Photronics Inc 432: 426: 404:Main article: 401: 398: 380: 377: 371: 368: 356:EUV photomasks 352: 349: 307:phase-shifting 205: 201: 168: 165: 131:was used with 88:drafting table 75: 72: 15: 9: 6: 4: 3: 2: 1273: 1262: 1259: 1257: 1254: 1253: 1251: 1239: 1234: 1230: 1226: 1222: 1218: 1214: 1207: 1200: 1193: 1186: 1179: 1178: 1170: 1154: 1147: 1131: 1124: 1116: 1104: 1096: 1092: 1088: 1084: 1080: 1076: 1072: 1068: 1064: 1057: 1043: 1039: 1032: 1026: 1021: 1012: 1005: 999: 992: 989:E. Hendrickx 986: 978: 972: 958:on 2017-06-02 954: 947: 941: 933: 927: 920: 914: 906: 902: 898: 894: 890: 886: 879: 871: 867: 863: 859: 855: 851: 844: 837: 831: 824: 818: 811: 806: 798: 792: 784: 778: 774: 773: 766: 758: 756:9781420028782 752: 748: 747: 739: 731: 725: 717: 713: 709: 703: 699: 698: 690: 688: 679: 673: 669: 668: 661: 653: 647: 643: 642: 635: 627: 621: 613: 609: 605: 601: 597: 593: 589: 585: 581: 574: 560: 556: 550: 546: 537: 534: 532: 529: 527: 524: 522: 519: 516: 513: 511: 508: 507: 501: 499: 494: 491: 488: 486: 482: 478: 474: 470: 466: 462: 458: 454: 447:Compugraphics 446: 443: 441: 438: 436: 433: 430: 427: 425: 422: 421: 420: 417: 413: 407: 393: 389: 385: 376: 367: 365: 361: 357: 348: 345: 340: 337: 333: 329: 328:semiconductor 324: 322: 318: 313: 308: 304: 300: 298: 293: 290: 286: 282: 278: 277:mask aligners 274: 270: 266: 262: 258: 253: 251: 247: 243: 239: 235: 230: 228: 224: 220: 216: 212: 208: 197: 193: 185: 179: 174: 164: 162: 158: 154: 150: 146: 142: 138: 134: 133:silver halide 130: 125: 123: 118: 114: 109: 105: 103: 102: 97: 93: 89: 85: 81: 71: 69: 64: 62: 58: 54: 50: 46: 42: 38: 29: 21: 1216: 1212: 1199: 1191: 1185:the original 1176: 1169: 1157:. Retrieved 1146: 1134:. Retrieved 1123: 1062: 1056: 1045:. Retrieved 1041: 1031: 1020: 1011: 1003: 998: 990: 985: 960:. Retrieved 953:the original 940: 926: 918: 913: 888: 878: 853: 843: 835: 830: 822: 817: 805: 791: 771: 765: 745: 738: 696: 666: 660: 640: 634: 620: 587: 583: 573: 562:. Retrieved 558: 549: 495: 492: 489: 450: 409: 386: 382: 373: 354: 341: 325: 320: 316: 301: 294: 272: 268: 265:photoreticle 264: 254: 231: 192:fused silica 189: 141:fused silica 137:borosilicate 126: 121: 110: 106: 100: 99: 77: 67: 65: 60: 40: 36: 34: 1159:6 September 1136:6 September 117:photoresist 1250:Categories 1047:2008-09-13 1002:C-J. Chen 962:2019-06-23 919:Proc. SPIE 836:Proc. SPIE 823:Proc. SPIE 716:1246513110 564:2024-01-05 542:References 431:Photomasks 360:molybdenum 267:or simply 171:See also: 129:soda glass 1113:ignored ( 1103:cite book 1087:0277-786X 905:109838206 870:109009678 797:"Reticle" 724:cite book 604:0894-6507 406:Mask shop 379:Pellicles 330:features 273:photomask 223:electrons 198:(Cr) or 139:and then 37:photomask 1095:86650806 971:cite web 612:37911295 504:See also 416:SEMATECH 303:Features 285:scanners 281:steppers 196:chromium 167:Overview 145:chromium 80:rubylith 68:mask set 1233:2236552 1067:Bibcode 477:Samsung 364:silicon 297:stepped 269:reticle 246:scanner 242:stepper 122:stepper 101:reticle 92:plotter 74:History 61:reticle 57:silicon 1231:  1155:. SEMI 1093:  1085:  1004:et al. 991:et al. 903:  868:  779:  753:  714:  704:  674:  648:  610:  602:  479:, and 429:Toppan 332:shrink 312:quartz 225:, and 1229:S2CID 1209:(PDF) 1188:(PDF) 1181:(PDF) 1091:S2CID 956:(PDF) 949:(PDF) 901:S2CID 866:S2CID 608:S2CID 453:Intel 219:X-ray 157:laser 84:mylar 53:wafer 1161:2014 1138:2014 1115:help 1083:ISSN 977:link 777:ISBN 751:ISBN 730:link 712:OCLC 702:ISBN 672:ISBN 646:ISBN 600:ISSN 469:TSMC 412:SPIE 410:The 362:and 336:IMEC 289:VLSI 283:and 227:ions 155:and 41:mask 1221:doi 1075:doi 893:doi 858:doi 592:doi 559:KLA 473:UMC 465:NEC 461:IBM 259:of 244:or 217:), 215:EUV 1252:: 1227:. 1217:19 1215:. 1211:. 1107:: 1105:}} 1101:{{ 1089:. 1081:. 1073:. 1040:. 973:}} 969:{{ 899:. 864:. 726:}} 722:{{ 710:. 686:^ 606:. 598:. 588:18 586:. 582:. 557:. 475:, 471:, 467:, 463:, 459:, 455:, 366:. 232:A 221:, 211:nm 200:Fe 63:. 35:A 1235:. 1223:: 1163:. 1140:. 1117:) 1097:. 1077:: 1069:: 1050:. 979:) 965:. 934:. 907:. 895:: 872:. 860:: 799:. 785:. 759:. 732:) 718:. 680:. 654:. 614:. 594:: 567:. 206:3 204:O 202:2

Index



photolithography
integrated circuits
wafer
silicon
rubylith
mylar
drafting table
plotter
optical pattern generator
contact aligners
photoresist
soda glass
silver halide
borosilicate
fused silica
chromium
ultraviolet light
electron beam lithography
laser
maskless lithography
Photographic plate

optical proximity correction
fused silica
chromium
Fe2O3
nm
EUV

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑