Knowledge

Self-aligned gate

Source 📝

265:
completing the device fabrication. Due to the inevitable misalignment of the gate mask with respect to the source and drain mask, it was necessary to have a fairly large overlap area between the gate region and the source and drain regions, to ensure that the thin-oxide region would bridge the source and drain, even under worst-case misalignment. This requirement resulted in gate-to-source and gate-to-drain parasitic capacitances that were large and variable from wafer to wafer, depending on the misalignment of the gate oxide mask with respect with the source and drain mask. The result was an undesirable spread in the speed of the integrated circuits produced, and a much lower speed than theoretically possible if the parasitic capacitances could be reduced to a minimum. The overlap capacitance with the most adverse consequences on performance was the gate-to-drain parasitic capacitance, Cgd, which, by the well-known Miller effect, augmented the gate-to-source capacitance of the transistor by Cgd multiplied by the gain of the circuit to which that transistor was a part. The impact was a considerable reduction in the switching speed of transistors.
273:
to define the source and drain regions of the transistor. However, since aluminum could not withstand the high temperature required for the conventional doping of the source and drain junctions, Bower proposed to use ion implantation, a new doping technique still in development at Hughes Aircraft, his employer, and not yet available at other labs. While Bower’s idea was conceptually sound, in practice it did not work, because it was impossible to adequately passivate the transistors, and repair the radiation damage done to the silicon crystal structure by the ion implantation, since these two operations would have required temperatures in excess of the ones survivable by the aluminum gate. Thus his invention provided a proof of principle, but no commercial integrated circuit was ever produced with Bower’s method. A more refractory gate material was needed.
506:
patent 3,475,234 was not filed until March 27, 1967 several months after the R. W. Bower and H. D. Dill Published and presented the first publication of this work entitled INSULATED GATE FIELD EFFECT TRANSISTORS FABRICATED USING THE GATE AS SOURCE-DRAIN MASK at the International Electron Device Meeting, Washington, D.C., 1966. Bower's work described the self-aligned-gate MOSFET, made with both aluminum and polysilicon gates. It used both ion implantation and diffusion to form the source and drain using the gate electrode as the mask to define the source and drain regions. The Bell Labs team attended this meeting of the IEDM in 1966, and they discussed this work with Bower after his presentation in 1966. Bower had first made the self-aligned gate using aluminum as the gate and, before presentation in 1966, made the device using polysilicon as the gate.
157:
placed. The wafer is then heated to around 1000 °C, and exposed to a doping gas that diffuses into the surface of the wafer to produce the "n" sections. A thin layer of insulator material (silicon dioxide) is then grown on top of the wafer. Finally, the gate is patterned on top of the insulating layer in a new photo-lithographic operation. To ensure the gate actually overlaps the underlying source and drain, the gate material has to be wider than the gap between the n sections, typically as much as three times. This wastes space and creates extra capacitance between the gate and the source-drain. This
313:
transistors with aluminum gate fabricated on the same starting material. Therefore, one could use starting material with silicon orientation and simultaneously achieve both an adequate parasitic threshold voltage and low threshold voltage transistors without the use of a channel-stopper mask or ion implantation under the field oxide. With P-type doped silicon gate it would therefore be possible not only to create self-aligned gate transistors but also a low threshold voltage process by using the same silicon orientation of the high threshold voltage process.
292:. Low threshold voltage transistors with aluminum gate demanded the use of silicon orientation, which however produced too low a threshold voltage for the parasitic MOS transistors (the MOS transistors created when aluminum over the field oxide would bridge two junctions). To increase the parasitic threshold voltage beyond the supply voltage, it was necessary to increase the N-type doping level in selected regions under the field oxide, and this was initially accomplished with the use of a so-called channel-stopper mask, and later with ion implantation. 137:, the three parts of a transistor are the source, the drain, and the gate (see diagram). The "field effect" in the name refers to changes to the conductivity that occur when a voltage is applied to the gate. The key point is that this electric field can cause the "channel" region separating the source and drain to become the same type as the source-drain, thus turning the transistor "on". Because no current flows from the gate to the drain, the switching energy of a FET is very small compared to earlier 208:(SGT) or "self-aligned silicon-gate" technology, which had many additional benefits over the reduction of parasitic capacitances. One important feature of SGT was that the transistor was entirely buried under top quality thermal oxide (one of the best insulators known), making it possible to create new device types, not feasible with conventional technology or with self-aligned gates made with other materials. Particularly important are 92: 362: 545: 185:
edges of the source and drain, the inside edge of those sections being masked by the gate itself. As a result, the source and drain "self-align" to the gate. Since they are always perfectly positioned, there is no need to make the gate wider than desired, and the parasitic capacitance is greatly reduced. Alignment time and chip-to-chip variability are likewise reduced.
118:". Depending on the process, the photoresist that was exposed to light either hardens or softens, and in either case, the softer parts are then washed away. The result is a microscopic pattern on the surface of the wafer where a portion of the top layer is exposed while the rest is protected under the remaining photoresist. 477: 324:'s group and was put in charge of the development of a low-threshold-voltage, self-aligned gate MOS process technology. Faggin's first task was to develop the precision etching solution for the amorphous silicon gate, and then he created the process architecture and the detailed processing steps to fabricate MOS ICs with 498:(U.S. 3,472,712, issued October 14, 1969, filed October 27, 1966). The Bell Labs Kerwin et al. patent was not filed until March 27, 1967, several months after R. W. Bower and H. D. Dill had published and presented the first publication of this work at the International Electron Device Meeting, Washington, D.C. in 1966. 919: 443: 505:
and Sarace were the inventors of the self-aligned silicon gate transistor. On that basis, they were awarded the basic patent US 3,475,234. Actually the self-aligned gate MOSFET was invented by Robert W. Bower U.S. 3,472,712, issued October 14, 1969, Filed October 27, 1966. The Bell Labs Kerwin et al
276:
In 1967, John C. Sarace and collaborators at Bell Labs replaced the aluminum gate with an electrode made of vacuum-evaporated amorphous silicon and succeeded in building working self-aligned gate MOS transistors. However, the process, as described, was only a proof of principle, suitable only for the
335:
The availability of the 3708 in July 1968 provided also a platform to further improve the process during the following months, leading to the shipment of the first 3708 samples to customers in October 1968, and making it commercially available to the general market before the end of 1968. During the
637:
10. Using a conventional doping process, or a process called ion-implantation, the source, drain and the polysilicon are doped. The thin oxide under the silicon gate acts as a mask for the doping process. This step is what makes the gate self-aligning. The source and drain regions are automatically
272:
realized that if the gate electrode was defined first, it would be possible not only to minimize the parasitic capacitances between gate and source and drain, but it would also make them insensitive to misalignment. He proposed a method in which the aluminum gate electrode itself was used as a mask
312:
difference between heavily P-type doped silicon and N-type silicon was 1.1 volt lower than the work function difference between aluminum and the same N-type silicon. This meant that the threshold voltage of MOS transistors with silicon gate could be 1.1 volt lower than the threshold voltage of MOS
184:
In the self-aligned process, the key gate-insulating layer is formed near the beginning of the process. Then the gate is deposited and patterned on top. Then the source-drains are doped (for poly-silicon the gates are doped simultaneously). The source-drain pattern thus represents only the outside
156:
The wafer as a whole is first chosen to have a particular electrical quality as biased either positive, or "p", or negative, "n". In the illustration the base material is "p" (called n-channel or nMOS). A mask is then used to produce areas where the negative "n" sections of the transistors will be
352:
By the end of 1968 the silicon-gate technology had achieved impressive results. Although the 3708 was designed to have approximately the same area as the 3705 to facilitate using the same production tooling as the 3705, it could have been made considerably smaller. Nonetheless, it had superior
331:
After validating and characterizing the process using a test pattern he designed, Faggin made the first working MOS silicon-gate transistors and test structures by April 1968. He then designed the first integrated circuit using silicon gate, the Fairchild 3708, an 8-bit analog multiplexer with
344:
The use of phosphorus gettering to soak up the impurities, always present in the transistor, causing reliability problems. Phosphorus gettering allowed to considerably reduce the leakage current and to avoid the threshold voltage drift that still plagued MOS technology with aluminum gate (MOS
264:
MOS process technology started with the definition and doping of the source and drain regions of MOS transistors, followed by the gate mask that defined the thin-oxide region of the transistors. With additional processing steps, an aluminum gate would then be formed over the thin-oxide region
126:(commonly boron or phosphorus) that changes the electrical properties of the silicon. This allows the silicon to become an electron donor, electron receptor, or near-insulator depending on the type and/or amount of the dopant. In a typical IC this process is used to produce the individual 161:
requires that the entire chip be driven at high power levels to ensure clean switching which is inefficient. Additionally, the variation in the misalignment of the gate to the underlying source-drain means that there is high chip-to-chip variability even when they are working properly.
373:
upon its founding (July 1968), and within a few years became the core technology for the fabrication of MOS integrated circuits worldwide, lasting to this day. Intel was also the first company to develop non-volatile memory using floating silicon-gate transistors.
176:
The self-aligned gate developed in several steps to its present form. Key to the advance was the discovery that heavily doped poly-silicon was conductive enough to replace aluminum. This meant the gate layer could be created at any stage in the multi-step
121:
The wafer is then exposed to a variety of processes that add or remove materials from the portions of the wafer that are unprotected by the photoresist. In one common process, the wafer is heated to around 1000 °C and then exposed to a gas containing a
328:. He also invented the ‘buried contacts,’ a method to make direct contact between amorphous silicon and silicon junctions, without the use of metal, a technique that allowed a much higher circuit density, particularly for random logic circuits. 340:
Replacing the vacuum-evaporated amorphous silicon with poly-crystalline silicon obtained by vapor-phase deposition. This step became necessary since evaporated, amorphous silicon did break where it passed over "steps" in the surface of the
647:
in a high temperature furnace (>800 °C or 1,500 °F). This diffuses the dopant further into the crystal structure to make the source and drain regions and results in the dopant diffusing slightly underneath the
212:(CCD), used for image sensors, and non-volatile memory devices using floating silicon-gate structures. These devices dramatically enlarged the range of functionality that could be achieved with solid state electronics. 532:
The importance of self-aligned gates comes in the process used to make them. The process of using the gate oxide as a mask for the source and drain diffusion both simplifies the process and greatly improves the yield.
450:
Federico Faggin and Thomas Klein.: "A Faster Generation Of MOS Devices With Low Thresholds Is Riding The Crest Of The New Wave, Silicon-Gate IC’s". Cover story on Fairchild 3708, "Electronics" magazine, September 29,
300:
The SGT was the first process technology used to fabricate commercial MOS integrated circuits that was later widely adopted by the entire industry in the 1960s. In late 1967, Tom Klein, working at the
353:
performance compared with the 3705: it was 5 times faster, it had about 100 times less leakage current, and the on resistance of the large transistors making up the analog switches was 3 times lower.
332:
decoding logic, that had the same functionality of the Fairchild 3705, a metal-gate production IC that Fairchild Semiconductor had difficulty making on account of its rather stringent specifications.
513:, another semiconductor process innovation of the 1960s. The histories of ion implantation and self-aligned gates are highly interrelated, as recounted in an in-depth history by R.B. Fair. 556:
and used in the Silicon Gate Technology process developed at Fairchild Semiconductor in 1968 for the fabrication of the first commercial integrated circuit using it, the Fairchild 3708
425:
Faggin, F., Klein, T., and Vadasz, L.: "Insulated Gate Field Effect Transistor Integrated Circuits With Silicon Gates". IEEE International Electron Devices Meeting, Washington D.C, 1968
1081:
Faggin, F., Klein, T., and Vadasz, L.: "Insulated Gate Field Effect Transistor Integrated Circuits With Silicon Gates". IEEE International Electron Devices Meeting, Washington D.C, 1968
422:
Bower, RW and Dill, RG (1966). "Insulated gate field effect transistors fabricated using the gate as source-drain mask". IEEE International Electron Devices Meeting, 1966
349:
With silicon gate, the long-term reliability of MOS transistors soon reached the level of bipolar ICs removing one major obstacle to the wide adoption of MOS technology.
618:
7. The polysilicon and gate oxide that is not covered by photoresist is etched away with a buffered ion etch process. This is usually an acid solution containing
560:
1. Wells on the field oxide are etched where the transistors are to be formed. Each well defines the source, drain, and active gate regions of an MOS transistor.
632:
9. The thin oxide is etched away exposing the source and drain regions of the transistor, except in the gate region which is protected by the polysilicon gate.
153:
which melts at 660 °C, so it had to be deposited as one of the last steps in the process after all the doping stages had been completed at around 1000 °C.
653:
12. The process continues with vapor deposition of silicon dioxide to protect the exposed areas, and with all the remaining steps to complete the process.
472:, Klein, Thomas & Faggin, Federico, "Doped semiconductor electrodes for MOS type devices", published 1972-06-27, assigned to 914:, Kerwin, Robert E.; Klein, Donald L. & Sarace, John C., "Method for making MIS structures", published 28-10-1969, assigned to 524:
who pioneered several inventions in order to turn the aforementioned non working proofs of concept, into what the industry actually adopted thereafter.
627:
8. The rest of the photoresist is stripped from the silicon wafer. There is now a wafer with polysilicon over the gate oxide, and over the field oxide.
768: 613:
6. Photoresist is exposed with a specialized developer solution. This is intended to remove the photoresist that was broken down by the UV light.
65:
is one of the key innovations that led to the large increase in computing power in the 1970s. Self-aligned gates are still used in most modern
976:
Richard B. Fair (Jan 1998). "History of Some Early Developments in Ion-Implantation Technology Leading to Silicon Transistor Manufacturing".
882: 473: 102:(ICs, or "chips") are produced in a multi-step process that builds up multiple layers on the surface of a disk of silicon known as a " 820:(September 29, 1969) A Faster Generation Of MOS Devices With Low Thresholds Is Riding The Crest Of The New Wave, Silicon-Gate IC's 1066: 1041: 1014: 799: 752: 711: 823: 933:
Bower, RW & Dill, RG (1966). "Insulated gate field effect transistors fabricated using the gate as source-drain mask".
438:, Donald L. & Sarace, John C., "Method for making MIS structures", published 28-10-1969, assigned to 277:
fabrication of discrete transistors and not for integrated circuits; and was not pursued any further by its investigators
58:
regions. This technique ensures that the gate is naturally and precisely aligned to the edges of the source and drain.
663: 390: 178: 86: 69: 31: 345:
transistors with aluminum gate were not suitable for phosphorus gettering due to the high temperature required).
839: 204:
almost universally adopted self-aligned gates made with polycrystalline silicon (poly-silicon), the so-called
819: 465:
F. Faggin, T. Klein "Silicon Gate Technology", "Solid State Electronics", 1970, Vol. 13, pp. 1125–1144.
171: 17: 1102: 1097: 382: 138: 911: 469: 431: 1112: 583: 230:(because amorphous silicon would break where it passed over "steps" in the oxide insulating surface); 962: 890: 847: 517: 301: 238: 227: 791: 744: 644: 455:
Vadasz, L. L.; Grove, A.S.; Rowe, T.A.; Moore, G.E. (October 1969). "Silicon Gate Technology".
289: 281: 201: 123: 1031: 1004: 501:
In a legal action involving Bower, the Third Circuit Court of Appeals determined that Kerwin,
285: 209: 158: 783: 736: 50:(metal–oxide–semiconductor field-effect transistor) is used as a mask for the doping of the 386: 8: 336:
period, July to October 1968, Faggin added two additional critical steps to the process:
103: 51: 950: 762: 605: 99: 66: 55: 1062: 1037: 1010: 864: 795: 784: 748: 737: 717: 707: 701: 619: 566: 288:(LVT) MOS process in order to increase the speed and reduce the power dissipation of 223: 197: 43: 1107: 985: 938: 856: 668: 608:; this breaks down the photoresist layer in areas where the mask didn't protect it. 510: 234: 426: 553: 521: 502: 495: 491: 435: 410: 317: 269: 516:
The first commercial product using self-aligned silicon-gate technology was the
1006:
International biographical dictionary of computer pioneers, Volume 1995, Part 2
394: 280:
In 1968, the MOS industry was prevalently using aluminum gate transistors with
62: 1091: 942: 868: 835: 721: 457: 309: 261: 141:
types where the gate (or base as it was known) was in-line with the current.
402: 325: 295: 697: 693: 596: 592: 490:
The self-aligned gate design was patented in 1969 by the team of Kerwin,
378: 111: 107: 586:(CVD) process, a layer of polysilicon is grown on top of the gate oxide. 248:
Prior to these innovations, self-aligned gates had been demonstrated on
570: 406: 398: 321: 305: 249: 215:
Certain innovations were required in order to make self-aligned gates:
193: 127: 39: 989: 915: 439: 115: 860: 401:, was developed by Faggin using his silicon-gate MOS IC technology. 393:
in 1968 and demonstrated in 1969. The first commercial single-chip
189: 150: 883:"1971: Microprocessor Integrates CPU Function onto a Single Chip" 91: 361: 188:
After early experimentation with different gate materials using
149:
In early MOSFET fabrication methodologies, the gate was made of
134: 47: 604:
5. A mask is placed on top of the photoresist and exposed to
544: 541:
The following are the steps in creating a self-aligned gate:
370: 252:
devices, but their real impact was on silicon-gate devices.
840:"Evolution of the MOS transistor-from conception to VLSI" 734: 296:
Development of the silicon-gate technology at Fairchild
244:
a method to reduce the impurities present in silicon.
548:
A cleanroom facility where these steps are performed
520:
3708 8-bit analog multiplexor, in 1968, designed by
454: 106:". Each layer is patterned by coating the wafer in 638:properly aligned with the (already in place) gate. 381:to use silicon-gate technology was the Intel 1101 1056: 932: 910: 430: 369:The silicon-gate technology (SGT) was adopted by 1089: 975: 494:, and Sarace. It was independently invented by 1002: 686: 356: 767:: CS1 maint: multiple names: authors list ( 416: 935:1966 International Electron Devices Meeting 474:Fairchild Camera and Instrument Corporation 468: 808: 775: 728: 692: 219:a new process that would create the gates; 114:light being shone through a stencil-like " 569:process, a thin layer (5-200 nm) of 509:The self-aligned gate typically involves 1029: 1009:. Taylor & Francis US. p. 289. 937:. Vol. 12. IEEE. pp. 102–104. 543: 527: 360: 130:that make up the key elements of an IC. 90: 1050: 14: 1090: 828: 904: 781: 706:. Addison Wesley Publishing Company. 32:semiconductor electronics fabrication 1033:History of semiconductor engineering 144: 834: 42:manufacturing approach whereby the 27:Semiconductor Fabrication Technique 24: 735:Yanda, Heynes, and Miller (2005). 552:These steps were first created by 80: 25: 1124: 1057:Streetman, Ben; Banerjee (2006). 814:Federico Faggin and Thomas Klein 413:contributed to the architecture. 165: 61:The use of self-aligned gates in 916:Bell Telephone Laboratories Inc. 664:Semiconductor device fabrication 577:) is grown on the silicon wafer. 536: 440:Bell Telephone Laboratories Inc. 87:Semiconductor device fabrication 1075: 1023: 996: 304:R&D Labs, and reporting to 75: 1059:Solid State Electronic Devices 969: 926: 875: 13: 1: 1061:. PHI. pp. 269–27, 313. 679: 782:Orton, John Wilfred (2004). 703:Introduction to VLSI systems 284:(HVT) and desired to have a 172:Polysilicon depletion effect 95:Diagram of a standard MOSFET 7: 786:The Story of Semiconductors 657: 139:bipolar junction transistor 10: 1129: 485: 357:Commercialization at Intel 255: 169: 84: 1036:. Springer. p. 359. 595:is applied on top of the 584:chemical vapor deposition 434:, Kerwin, Robert E.; 417:Original documents on SGT 943:10.1109/IEDM.1966.187724 855:(10): 1280–1326 (1303). 674: 110:and then exposing it to 1003:John A. N. Lee (1995). 891:Computer History Museum 848:Proceedings of the IEEE 739:Demystifying Chipmaking 302:Fairchild Semiconductor 290:MOS integrated circuits 239:polycrystalline silicon 228:polycrystalline silicon 206:silicon-gate technology 790:. OUP Oxford. p.  549: 366: 282:high threshold voltage 210:charge-coupled devices 202:semiconductor industry 96: 547: 528:Manufacturing process 364: 286:low threshold voltage 159:parasitic capacitance 94: 387:random-access memory 308:, realized that the 743:. Newnes. pp.  237:method for etching 179:fabrication process 100:Integrated circuits 1103:Italian inventions 1098:1966 introductions 887:The Silicon Engine 550: 367: 316:In February 1968, 97: 67:integrated circuit 1068:978-81-203-3020-7 1043:978-3-540-34257-1 1030:Bo Lojek (2007). 1016:978-1-884964-47-3 801:978-0-19-853083-1 754:978-0-7506-7760-8 713:978-0-201-04358-7 643:11. The wafer is 620:hydrofluoric acid 567:thermal oxidation 461:. pp. 27–35. 224:amorphous silicon 198:amorphous silicon 145:Older methodology 36:self-aligned gate 16:(Redirected from 1120: 1113:Transistor types 1082: 1079: 1073: 1072: 1054: 1048: 1047: 1027: 1021: 1020: 1000: 994: 993: 990:10.1109/5.658764 973: 967: 966: 960: 956: 954: 946: 930: 924: 923: 922: 918: 908: 902: 901: 899: 897: 879: 873: 872: 844: 838:(October 1988). 832: 826: 812: 806: 805: 789: 779: 773: 772: 766: 758: 742: 732: 726: 725: 690: 669:Microfabrication 511:ion implantation 481: 480: 476: 462: 447: 446: 442: 235:photolithography 21: 1128: 1127: 1123: 1122: 1121: 1119: 1118: 1117: 1088: 1087: 1086: 1085: 1080: 1076: 1069: 1055: 1051: 1044: 1028: 1024: 1017: 1001: 997: 974: 970: 958: 957: 948: 947: 931: 927: 920: 909: 905: 895: 893: 881: 880: 876: 861:10.1109/5.16328 842: 833: 829: 813: 809: 802: 780: 776: 760: 759: 755: 733: 729: 714: 691: 687: 682: 677: 660: 576: 565:2. Using a dry 554:Federico Faggin 539: 530: 522:Federico Faggin 496:Robert W. Bower 488: 478: 444: 419: 411:Masatoshi Shima 359: 318:Federico Faggin 298: 270:Robert W. Bower 258: 174: 168: 147: 124:doping material 89: 83: 81:IC construction 78: 63:MOS transistors 46:electrode of a 28: 23: 22: 15: 12: 11: 5: 1126: 1116: 1115: 1110: 1105: 1100: 1084: 1083: 1074: 1067: 1049: 1042: 1022: 1015: 995: 984:(1): 111–137. 968: 959:|journal= 925: 903: 874: 836:Sah, Chih-Tang 827: 807: 800: 774: 753: 727: 712: 684: 683: 681: 678: 676: 673: 672: 671: 666: 659: 656: 655: 654: 650: 649: 640: 639: 634: 633: 629: 628: 624: 623: 615: 614: 610: 609: 601: 600: 591:4. A layer of 588: 587: 579: 578: 574: 562: 561: 538: 535: 529: 526: 487: 484: 483: 482: 466: 463: 452: 448: 428: 423: 418: 415: 395:microprocessor 358: 355: 347: 346: 342: 297: 294: 257: 254: 246: 245: 242: 231: 222:a switch from 220: 167: 166:Self-alignment 164: 146: 143: 85:Main article: 82: 79: 77: 74: 34:technology, a 26: 9: 6: 4: 3: 2: 1125: 1114: 1111: 1109: 1106: 1104: 1101: 1099: 1096: 1095: 1093: 1078: 1070: 1064: 1060: 1053: 1045: 1039: 1035: 1034: 1026: 1018: 1012: 1008: 1007: 999: 991: 987: 983: 979: 972: 964: 952: 944: 940: 936: 929: 917: 913: 907: 892: 888: 884: 878: 870: 866: 862: 858: 854: 850: 849: 841: 837: 831: 825: 821: 817: 811: 803: 797: 793: 788: 787: 778: 770: 764: 756: 750: 746: 741: 740: 731: 723: 719: 715: 709: 705: 704: 699: 695: 689: 685: 670: 667: 665: 662: 661: 652: 651: 646: 642: 641: 636: 635: 631: 630: 626: 625: 621: 617: 616: 612: 611: 607: 603: 602: 598: 594: 590: 589: 585: 581: 580: 572: 568: 564: 563: 559: 558: 557: 555: 546: 542: 537:Process steps 534: 525: 523: 519: 514: 512: 507: 504: 499: 497: 493: 475: 471: 467: 464: 460: 459: 458:IEEE Spectrum 453: 449: 441: 437: 433: 429: 427: 424: 421: 420: 414: 412: 408: 404: 400: 396: 392: 388: 384: 380: 375: 372: 363: 354: 350: 343: 339: 338: 337: 333: 329: 327: 323: 319: 314: 311: 310:work function 307: 303: 293: 291: 287: 283: 278: 274: 271: 266: 263: 262:aluminum-gate 253: 251: 243: 240: 236: 232: 229: 225: 221: 218: 217: 216: 213: 211: 207: 203: 199: 195: 191: 186: 182: 180: 173: 163: 160: 154: 152: 142: 140: 136: 131: 129: 125: 119: 117: 113: 109: 105: 101: 93: 88: 73: 71: 68: 64: 59: 57: 53: 49: 45: 41: 37: 33: 19: 1077: 1058: 1052: 1032: 1025: 1005: 998: 981: 977: 971: 934: 928: 906: 894:. Retrieved 886: 877: 852: 846: 830: 815: 810: 785: 777: 738: 730: 702: 698:Conway, Lynn 694:Mead, Carver 688: 551: 540: 531: 515: 508: 500: 489: 456: 403:Marcian Hoff 376: 368: 351: 348: 334: 330: 326:silicon gate 315: 299: 279: 275: 267: 259: 247: 214: 205: 187: 183: 175: 155: 148: 132: 120: 98: 76:Introduction 60: 35: 29: 18:Silicon gate 816:Electronics 597:polysilicon 593:photoresist 582:3. Using a 379:memory chip 128:transistors 112:ultraviolet 108:photoresist 1092:Categories 978:Proc. IEEE 912:US 3475234 680:References 571:gate oxide 470:US 3673471 432:US 3475234 407:Stan Mazor 399:Intel 4004 391:fabricated 377:The first 365:Intel 1101 322:Les Vadasz 306:Les Vadasz 250:metal-gate 194:molybdenum 170:See also: 40:transistor 961:ignored ( 951:cite book 869:0018-9219 818:magazine 763:cite book 722:634332043 518:Fairchild 268:In 1966, 70:processes 700:(1991). 658:See also 645:annealed 606:UV light 389:) chip, 385:(static 190:aluminum 151:aluminum 1108:MOSFETs 896:22 July 486:Patents 320:joined 256:History 133:In the 1065:  1040:  1013:  921:  867:  798:  751:  747:–149. 720:  710:  479:  445:  397:, the 341:oxide. 200:, the 135:MOSFET 52:source 48:MOSFET 843:(PDF) 824:pp6-7 675:Notes 648:gate. 503:Klein 492:Klein 451:1969. 436:Klein 371:Intel 104:wafer 56:drain 38:is a 1063:ISBN 1038:ISBN 1011:ISBN 963:help 898:2019 865:ISSN 822:see 796:ISBN 769:link 749:ISBN 718:OCLC 708:ISBN 573:(SiO 409:and 383:SRAM 260:The 196:and 116:mask 54:and 44:gate 986:doi 939:doi 857:doi 792:114 745:148 226:to 30:In 1094:: 982:86 980:. 955:: 953:}} 949:{{ 889:. 885:. 863:. 853:76 851:. 845:. 794:. 765:}} 761:{{ 716:. 696:; 405:, 233:a 192:, 181:. 72:. 1071:. 1046:. 1019:. 992:. 988:: 965:) 945:. 941:: 900:. 871:. 859:: 804:. 771:) 757:. 724:. 622:. 599:. 575:2 241:; 20:)

Index

Silicon gate
semiconductor electronics fabrication
transistor
gate
MOSFET
source
drain
MOS transistors
integrated circuit
processes
Semiconductor device fabrication

Integrated circuits
wafer
photoresist
ultraviolet
mask
doping material
transistors
MOSFET
bipolar junction transistor
aluminum
parasitic capacitance
Polysilicon depletion effect
fabrication process
aluminum
molybdenum
amorphous silicon
semiconductor industry
charge-coupled devices

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.