Knowledge

Photomask

Source πŸ“

386:
error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask, and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.
399:
patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths. Current pellicles are made from polysilicon, and companies are exploring other materials for high-NA EUV and future chip making processes.
31: 403: 39: 189: 105:)) and the unwanted rubylith was peeled off by hand, forming the master image of that layer of the chip, often called "artwork". Increasingly complex and thus larger chips required larger and larger rubyliths, eventually even filling the wall of a room, and artworks were to be photographically reduced to produce photomasks (Eventually this whole process was replaced by the 394:
The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing
385:
Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask
130:
off the wafer and onto the photomask and it had to be cleaned or discarded. This drove the adoption of reverse master photomasks (see above), which were used to produce (with contact photolithography and etching) the needed many actual working photomasks. Later, projection photo-lithography meant
357:
has a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film. During manufacturing, inspection using a special form of
398:
Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pellicle – a thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask
310:" from position to position under the optical column or the stepper lens until full exposure of the wafer is achieved. A photomask with several copies of the integrated circuit design is used to reduce the number of steppings required to expose the entire wafer, thus increasing productivity. 192:
A simulated photomask. The thicker features are the integrated circuit that is desired to be printed on the wafer. The thinner features are assists that do not print themselves but help the integrated circuit print better out-of-focus. The zig-zag appearance of the photomask is because
302:
circuit. (However, some photolithography fabrications utilize reticles with more than one layer placed side by side onto the same mask, used as copies to create several identical integrated circuits from one photomask). In modern usage, the terms reticle and photomask are synonymous.
349:
found that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools. One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging.
395:
an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask".
118:
The reticle was by step-and-repeater photolithography and etching used to produce a photomask with image-size the same as the final chip. The photomask might be used directly in the fab or be used as master-photomask to produce the final actual working photomasks.
81:. A curvilinear photomask has patterns with curves, which is a departure from conventional photomasks which only have patterns that are completely vertical or horizontal, known as manhattan geometry. These photomasks require special equipment to manufacture. 320:
to enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposed
286:. In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. The mask covered the entire surface of the wafer which was exposed in its entirety in one shot. This was the standard for the 1:1 511:. As many as 30 masks (of varying price) may be required to form a complete mask set. As modern chips are built in several layers stacked on top of each other, at least one mask is required for each of these layers. 429:
Mask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info):
807: 325:
so that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method is
895: 501:
The worldwide photomask market was estimated as $ 3.2 billion in 2012 and $ 3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers).
306:
In a modern stepper or scanner, the pattern in the photomask is projected and shrunk by four or five times onto the wafer surface. To achieve complete wafer coverage, the wafer is repeatedly "
345:, photomask features that are 4Γ— larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque. A 2005 study by 860: 358:
microscopy called CD-SEM (Critical-Dimension Scanning Electron Microscopy) is used to measure critical dimensions on photomasks which are the dimensions of the patterns on a photomask.
106: 298:
with reduction optics. As used in steppers and scanners which use image projection, the reticle commonly contains only one copy, also called one layer of the designed
956: 1048: 1203:
Capital-intensive industry. Investment levels….. – ~$ 40M for 'conventional' (180-nm node or above) – >$ 100M for 'advanced' (130-nm node and beyond)
504:
The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $ 40 million, and for 130 nm - more than $ 100 million.
1186: 740: 1271: 330:, and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known as 525: 987: 1216: 224:, 248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm ( 54:) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used in 77:
In photolithography, several masks are used in turn, each one reproducing a layer of the completed design, and together known as a
1266: 894:
Eom, Tae-Seung; Lim, Chang M.; Kim, Seo-Min; Kim, Hee-Bom; Oh, Se-Young; Ma, Won-Kwang; Moon, Seung-Chan; Shin, Ki S. (2003).
1072:
Hughes, Greg; Henry Yun (2009-10-01). "Mask industry assessment: 2009". In Zurbrick, Larry S.; Montgomery, M. Warren (eds.).
791: 716: 686: 660: 1035: 781: 963: 676: 650: 483: 122:
As feature size shrank, the only way to properly focus the image was to place it in direct contact with the wafer. These
942: 366: 765: 248: 225: 109:
to produce the master image). At this point the master image could be arrayed into a multi-chip image called a
299: 194: 536: 97:
sheet was used. The design of one layer was cut into the rubylith, initially by hand on an illuminated
17: 507:
The purchase price of a photomask, in 2006, could range from $ 250 to $ 100,000 for a single high-end
896:"Comparative study of chromeless and attenuated phase shift mask for 0.3-k 1 ArF lithography of DRAM" 520: 163: 34:
A photomask. This photomask has 20 copies, also called layers, of the same circuit pattern or design.
1195: 1125: 636: 287: 354: 1077: 313: 171: 1188:
An Analysis of the Economics of Photomask Manufacturing Part – 1: The Economic Environment
8: 1248: 1036:
https://community.cadence.com/cadence_blogs_8/b/breakfast-bytes/posts/what-is-high-na-euv
434: 260: 123: 1185:
Weber, Charles M.; Berglund, C. Neil (February 9, 2005). "The Mask Shop's Perspective".
1081: 1239: 1113: 1101: 911: 876: 734: 618: 271: 183: 59: 42:
A schematic illustration of a photomask (top) and an IC layer printed using it (bottom)
1093: 981: 915: 880: 820: 787: 761: 722: 712: 682: 656: 610: 589:
Diaz, S.L.M.; Fowler, J.W.; Pfund, M.E.; Mackulak, G.T.; Hickie, M. (November 2005).
565: 491: 159: 1105: 622: 369:
work by reflecting light, which is achieved by using multiple alternating layers of
1243: 1231: 1085: 903: 868: 602: 591:"Evaluating the Impacts of Reticle Requirements in Semiconductor Wafer Fabrication" 508: 450: 317: 240:; but these require entirely new materials for the substrate and the pattern film. 55: 755: 531: 475: 467: 267: 210: 402: 174:
systems which generate reticles directly from the original computerized design.
1217:"Mask Cost and Profitability in Photomask Manufacturing: An Empirical Analysis" 590: 546: 541: 445: 98: 821:
Lithography experts back higher magnification in photomasks to ease challenges
726: 1260: 1097: 614: 495: 338: 244: 162:
were introduced. The original pattern generators have since been replaced by
143: 1235: 606: 706: 263:
techniques, a photomask would correspond to a subset of the layer pattern.
202: 151: 147: 295: 256: 135:
photo-lithography used reticles directly and ended the use of photomasks.
30: 127: 861:"Chromeless phase-shifted masks: A new approach to phase-shifting masks" 370: 342: 139: 1089: 907: 859:
Toh, Kenny K.; Dao, Giang T.; Singh, Rajeev R.; Gaw, Henry T. (1991).
872: 416: 233: 221: 1140: 131:
photomask lifetime was indefinite. Still later direct-step-on-wafer
38: 426: 206: 155: 90: 1164:"SEMI Reports 2013 Semiconductor Photomask Sales of $ 3.1 Billion" 115:. The reticle was originally a 10X larger image of a single chip. 1163: 487: 374: 307: 291: 252: 188: 102: 67: 1141:"Semiconductor Photomask Market: Forecast $ 3.5 Billion in 2014" 220:
metal absorbing film. Photomasks are used at wavelengths of 365
70:). In semiconductor manufacturing, a mask is sometimes called a 439: 322: 1214: 1026:
W-H. Cheng and J. Farnsworth, Proc. SPIE 6607, 660724 (2007).
463: 410: 229: 167: 94: 63: 1215:
Weber, C.M; Berglund, C.N.; Gabella, P. (13 November 2006).
754:
Rizvi, Syed (2005). "1.3 The Technology History of Masks".
479: 422: 346: 237: 1194:. ISMT Mask Automation Workshop. p. 6. Archived from 89:
For IC production in the 1960s and early 1970s, an opaque
943:"CD-SEM: Critical-Dimension Scanning Electron Microscope" 471: 334:, and is the most popular strong enhancement technique. 588: 380: 425:
Annual Conference, Photomask Technology reports the
1161: 274:devices, there was a distinction between the term 201:Lithographic photomasks are typically transparent 138:Photomask materials changed over time. Initially 1258: 1224:IEEE Transactions on Semiconductor Manufacturing 595:IEEE Transactions on Semiconductor Manufacturing 494:, have their own large maskmaking facilities or 62:(ICs or "chips") to produce a pattern on a thin 1071: 316:150 nm or below in size generally require 858: 839: 757:Handbook of Photomask Manufacturing Technology 678:Handbook of Photomask Manufacturing Technology 1184: 1162:Tracy, Dan; Deborah Geiger (April 14, 2014). 1076:. Vol. 7488. pp. 748803–748803–13. 1046: 259:, and individually selected for exposure. In 205:plates covered with a pattern defined with a 893: 526:Integrated circuit layout design protection 197:was applied to it to create a better print. 739:: CS1 maint: location missing publisher ( 655:. John Wiley & Sons. 29 October 2010. 411:Leading commercial photomask manufacturers 922: 826: 266:Historically in photolithography for the 1138: 401: 187: 37: 29: 1143:. SEMI Industry Research and Statistics 704: 14: 1259: 986:: CS1 maint: archived copy as title ( 902:. Vol. 5040. pp. 1310–1320. 637:"The Quest for Curvilinear Photomasks" 753: 865:10th Annual Symp on Microlithography 700: 698: 381:Mask error enhancement factor (MEEF) 1272:Semiconductor fabrication equipment 498:with the abovementioned companies. 332:alternating-aperture phase-shifting 247:, each defining a pattern layer in 24: 867:. Vol. 1496. pp. 27–53. 361: 93:film laminated onto a transparent 25: 1283: 1004:, Proc. SPIE 7140, 714007 (2008). 695: 251:, is fed into a photolithography 652:Introduction to Microfabrication 1208: 1178: 1155: 1132: 1065: 1049:"Optical behavior of pellicles" 1047:Chris A. Mack (November 2007). 1040: 1029: 1020: 1007: 994: 949: 935: 932:, vol. 5992, pp. 306-316 (2005) 887: 852: 849:, vol. 5853, pp. 243-251 (2005) 814: 711:. Ankaj Gupta. Abingdon, Oxon. 1267:Lithography (microfabrication) 1139:Chamness, Lara (May 7, 2013). 1017:, Proc. SPIE 5256, 673 (2003). 836:, vol. 4889, pp. 50-58 (2002). 800: 774: 747: 708:Integrated circuit fabrication 669: 643: 629: 582: 558: 249:integrated circuit fabrication 13: 1: 681:. CRC Press. 3 October 2018. 552: 406:Pellicle Mounting Machine MLI 900:Optical Microlithography XVI 863:. In Wiley, James N. (ed.). 389: 195:optical proximity correction 158:which has better opacity to 7: 1249:doi:10.1109/TSM.2006.883577 537:Nanochannel glass materials 514: 177: 10: 1288: 760:. CRC Press. p. 728. 414: 181: 154:to control expansion, and 84: 1074:Photomask Technology 2009 898:. In Yen, Anthony (ed.). 783:Principles of Lithography 705:Shubham, Kumar n (2021). 521:Computational lithography 462:Major chipmakers such as 328:attenuated phase-shifting 164:electron beam lithography 126:often lifted some of the 107:optical pattern generator 1236:10.1109/TSM.2006.883577 607:10.1109/TSM.2005.858502 566:"Reticle Manufacturing" 455:Taiwan Mask Corporation 290:that were succeeded by 170:-driven mask writer or 1053:Microlithography World 407: 198: 58:for the production of 50:(also simply called a 43: 35: 27:Photolithographic tool 845:M. Yoshizawa et al., 405: 355:immersion lithography 191: 66:of material (usually 41: 33: 786:. SPIE Press. 2005. 172:maskless lithography 1082:2009SPIE.7488E..03H 928:C. A. Mack et al., 435:Dai Nippon Printing 101:(later by machine ( 60:integrated circuits 408: 272:integrated circuit 199: 184:Photographic plate 44: 36: 1251:; page 23 table 1 1090:10.1117/12.832722 908:10.1117/12.485452 793:978-0-8194-5660-1 718:978-1-000-39644-7 688:978-1-4200-2878-2 662:978-1-119-99189-2 492:Micron Technology 353:The emergence of 245:set of photomasks 160:ultraviolet light 16:(Redirected from 1279: 1252: 1247: 1221: 1212: 1206: 1205: 1200: 1193: 1182: 1176: 1175: 1173: 1171: 1159: 1153: 1152: 1150: 1148: 1136: 1130: 1129: 1123: 1119: 1117: 1109: 1069: 1063: 1062: 1060: 1059: 1044: 1038: 1033: 1027: 1024: 1018: 1011: 1005: 998: 992: 991: 985: 977: 975: 974: 968: 962:. Archived from 961: 953: 947: 946: 939: 933: 926: 920: 919: 891: 885: 884: 873:10.1117/12.29750 856: 850: 843: 837: 832:Y. Sato et al., 830: 824: 818: 812: 811: 804: 798: 797: 778: 772: 771: 751: 745: 744: 738: 730: 702: 693: 692: 673: 667: 666: 647: 641: 640: 639:. 15 April 2021. 633: 627: 626: 586: 580: 579: 577: 576: 562: 528:(or "Mask work") 509:phase-shift mask 451:Hoya Corporation 337:As leading-edge 261:multi-patterning 124:contact aligners 56:photolithography 21: 1287: 1286: 1282: 1281: 1280: 1278: 1277: 1276: 1257: 1256: 1255: 1219: 1213: 1209: 1201:on 2016-03-03. 1198: 1191: 1183: 1179: 1169: 1167: 1160: 1156: 1146: 1144: 1137: 1133: 1121: 1120: 1111: 1110: 1070: 1066: 1057: 1055: 1045: 1041: 1034: 1030: 1025: 1021: 1012: 1008: 999: 995: 979: 978: 972: 970: 966: 959: 957:"Archived copy" 955: 954: 950: 941: 940: 936: 927: 923: 892: 888: 857: 853: 844: 840: 831: 827: 823:// EETimes 2000 819: 815: 806: 805: 801: 794: 780: 779: 775: 768: 752: 748: 732: 731: 719: 703: 696: 689: 675: 674: 670: 663: 649: 648: 644: 635: 634: 630: 587: 583: 574: 572: 564: 563: 559: 555: 532:Mask inspection 517: 468:Globalfoundries 419: 413: 392: 383: 364: 362:EUV lithography 282:, and the term 268:mass production 218: 214: 186: 180: 146:opacity. Later 87: 28: 23: 22: 15: 12: 11: 5: 1285: 1275: 1274: 1269: 1254: 1253: 1230:(4): 465–474. 1207: 1177: 1154: 1131: 1122:|journal= 1064: 1039: 1028: 1019: 1006: 993: 948: 934: 921: 886: 851: 838: 825: 813: 799: 792: 773: 766: 746: 717: 694: 687: 668: 661: 642: 628: 601:(4): 622–632. 581: 556: 554: 551: 550: 549: 547:Stepping level 544: 542:SMIF interface 539: 534: 529: 523: 516: 513: 496:joint ventures 460: 459: 456: 453: 448: 446:Photronics Inc 443: 437: 415:Main article: 412: 409: 391: 388: 382: 379: 367:EUV photomasks 363: 360: 318:phase-shifting 216: 212: 179: 176: 142:was used with 99:drafting table 86: 83: 26: 9: 6: 4: 3: 2: 1284: 1273: 1270: 1268: 1265: 1264: 1262: 1250: 1245: 1241: 1237: 1233: 1229: 1225: 1218: 1211: 1204: 1197: 1190: 1189: 1181: 1165: 1158: 1142: 1135: 1127: 1115: 1107: 1103: 1099: 1095: 1091: 1087: 1083: 1079: 1075: 1068: 1054: 1050: 1043: 1037: 1032: 1023: 1016: 1010: 1003: 1000:E. Hendrickx 997: 989: 983: 969:on 2017-06-02 965: 958: 952: 944: 938: 931: 925: 917: 913: 909: 905: 901: 897: 890: 882: 878: 874: 870: 866: 862: 855: 848: 842: 835: 829: 822: 817: 809: 803: 795: 789: 785: 784: 777: 769: 767:9781420028782 763: 759: 758: 750: 742: 736: 728: 724: 720: 714: 710: 709: 701: 699: 690: 684: 680: 679: 672: 664: 658: 654: 653: 646: 638: 632: 624: 620: 616: 612: 608: 604: 600: 596: 592: 585: 571: 567: 561: 557: 548: 545: 543: 540: 538: 535: 533: 530: 527: 524: 522: 519: 518: 512: 510: 505: 502: 499: 497: 493: 489: 485: 481: 477: 473: 469: 465: 458:Compugraphics 457: 454: 452: 449: 447: 444: 441: 438: 436: 433: 432: 431: 428: 424: 418: 404: 400: 396: 387: 378: 376: 372: 368: 359: 356: 351: 348: 344: 340: 339:semiconductor 335: 333: 329: 324: 319: 315: 311: 309: 304: 301: 297: 293: 289: 288:mask aligners 285: 281: 277: 273: 269: 264: 262: 258: 254: 250: 246: 241: 239: 235: 231: 227: 223: 219: 208: 204: 196: 190: 185: 175: 173: 169: 165: 161: 157: 153: 149: 145: 144:silver halide 141: 136: 134: 129: 125: 120: 116: 114: 113: 108: 104: 100: 96: 92: 82: 80: 75: 73: 69: 65: 61: 57: 53: 49: 40: 32: 19: 1227: 1223: 1210: 1202: 1196:the original 1187: 1180: 1168:. Retrieved 1157: 1145:. Retrieved 1134: 1073: 1067: 1056:. Retrieved 1052: 1042: 1031: 1022: 1014: 1009: 1001: 996: 971:. Retrieved 964:the original 951: 937: 929: 924: 899: 889: 864: 854: 846: 841: 833: 828: 816: 802: 782: 776: 756: 749: 707: 677: 671: 651: 645: 631: 598: 594: 584: 573:. Retrieved 569: 560: 506: 503: 500: 461: 420: 397: 393: 384: 365: 352: 336: 331: 327: 312: 305: 283: 279: 276:photoreticle 275: 265: 242: 203:fused silica 200: 152:fused silica 148:borosilicate 137: 132: 121: 117: 111: 110: 88: 78: 76: 71: 51: 47: 45: 1170:6 September 1147:6 September 128:photoresist 1261:Categories 1058:2008-09-13 1013:C-J. Chen 973:2019-06-23 930:Proc. SPIE 847:Proc. SPIE 834:Proc. SPIE 727:1246513110 575:2024-01-05 553:References 442:Photomasks 371:molybdenum 278:or simply 182:See also: 140:soda glass 18:Photo mask 1124:ignored ( 1114:cite book 1098:0277-786X 916:109838206 881:109009678 808:"Reticle" 735:cite book 615:0894-6507 417:Mask shop 390:Pellicles 341:features 284:photomask 234:electrons 209:(Cr) or 150:and then 48:photomask 1106:86650806 982:cite web 623:37911295 515:See also 427:SEMATECH 314:Features 296:scanners 292:steppers 207:chromium 178:Overview 156:chromium 91:rubylith 79:mask set 1244:2236552 1078:Bibcode 488:Samsung 375:silicon 308:stepped 280:reticle 257:scanner 253:stepper 133:stepper 112:reticle 103:plotter 85:History 72:reticle 68:silicon 1242:  1166:. SEMI 1104:  1096:  1015:et al. 1002:et al. 914:  879:  790:  764:  725:  715:  685:  659:  621:  613:  490:, and 440:Toppan 343:shrink 323:quartz 236:, and 1240:S2CID 1220:(PDF) 1199:(PDF) 1192:(PDF) 1102:S2CID 967:(PDF) 960:(PDF) 912:S2CID 877:S2CID 619:S2CID 464:Intel 230:X-ray 168:laser 95:mylar 64:wafer 1172:2014 1149:2014 1126:help 1094:ISSN 988:link 788:ISBN 762:ISBN 741:link 723:OCLC 713:ISBN 683:ISBN 657:ISBN 611:ISSN 480:TSMC 423:SPIE 421:The 373:and 347:IMEC 300:VLSI 294:and 238:ions 166:and 52:mask 1232:doi 1086:doi 904:doi 869:doi 603:doi 570:KLA 484:UMC 476:NEC 472:IBM 270:of 255:or 228:), 226:EUV 1263:: 1238:. 1228:19 1226:. 1222:. 1118:: 1116:}} 1112:{{ 1100:. 1092:. 1084:. 1051:. 984:}} 980:{{ 910:. 875:. 737:}} 733:{{ 721:. 697:^ 617:. 609:. 599:18 597:. 593:. 568:. 486:, 482:, 478:, 474:, 470:, 466:, 377:. 243:A 232:, 222:nm 211:Fe 74:. 46:A 1246:. 1234:: 1174:. 1151:. 1128:) 1108:. 1088:: 1080:: 1061:. 990:) 976:. 945:. 918:. 906:: 883:. 871:: 810:. 796:. 770:. 743:) 729:. 691:. 665:. 625:. 605:: 578:. 217:3 215:O 213:2 20:)

Index

Photo mask


photolithography
integrated circuits
wafer
silicon
rubylith
mylar
drafting table
plotter
optical pattern generator
contact aligners
photoresist
soda glass
silver halide
borosilicate
fused silica
chromium
ultraviolet light
electron beam lithography
laser
maskless lithography
Photographic plate

optical proximity correction
fused silica
chromium
Fe2O3
nm

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑