Knowledge

Gate array

Source đź“ť

401:
reduced costs of around ÂŁ5,000 per design plus manufacturing costs of ÂŁ1-2 per chip in high volumes, in contrast to the ÂŁ15,000 design costs incurred by engaging Ferranti's services for the design process. Based on a PDP-11/23 minicomputer running RSX/11M, together with graphical display, keyboard, "digitalizing board", control desk and optional plotter, the solution aimed to satisfy the design needs of gate arrays from 100 to 10,000 gates, with the design being undertaken entirely by the organisation acquiring the solution, starting with a "logic plan", proceeding through the layout of the logic in the gate array itself, and concluding with the definition of a test specification for verification of the logic and for establishing an automated testing regime. Verification of completed designs was performed by "external specialists" after the transfer of the design to a "CAD center" in Manchester, England or Sunnyvale, California, potentially over the telephone network. Prototyping completed designs took an estimated 3 to 4 weeks. The minicomputer itself was also adaptable to run as a laboratory or office system where appropriate.
405:
editing capabilities". The software itself was available separately for organisations already likely to be using VAX-11/780 systems to provide a multi-user environment, but the "standalone system" package of hardware and software was intended to provide a more affordable solution with a "faster response" during the design process. The suite of tools involved in the use of the product included logic entry and test schedule definition (using Ferranti's own description languages), logic simulation, layout definition and checking, and mask generation for prototype gate arrays. The system also sought to support completely auto-routed designs, utilising architectural features of Ferranti's auto-routable (AR) arrays to deliver a "100-percent success auto-layout system" with this convenience incurring an increase in silicon area of approximately 25 percent.
335:
They were also well under the performance of the existing dominant logic technology, transistor–transistor logic families. However, there were many niche applications where they were invaluable, particularly in low power, size reduction, portable and aerospace applications as well as time-to-market sensitive products. Even these small arrays could replace a board full of transistor–transistor logic gates if performance were not an issue. A common application was combining a number of smaller circuits that were supporting a larger LSI circuit on a board was affectionately known as "garbage collection". And the low cost of development and custom tooling made the technology available to the most modest budgets. Early gate arrays played a large part in the
319: 448:(CPLD), metal configurable standard cells (MCSC), and structured ASICs. Whereas a gate array required a back-end semiconductor wafer foundry to deposit and etch the interconnections, the FPGA and CPLD had user-programmable interconnections. Today's approach is to make the prototypes by FPGAs, as the risk is low and the functionality can be verified quickly. For smaller devices, production costs are sufficiently low. But for large FPGAs, production is very expensive, power-hungry, and in many cases, do not reach the required speed. To address these issues, several ASIC companies like BaySand, Faraday, Gigoptics, and others offer FPGA to ASIC conversion services. 358:. Their initial intention was to commercialize emitter coupled logic gate arrays, but discovered the market was quickly moving towards CMOS. Instead, they licensed CDI's silicon gate CMOS line as a second source. This product established them in the market while they developed their own proprietary 5-micron 2-layer metal line. This latter product line was the first commercial gate array product amenable to full automation. LSI developed a suite of proprietary development tools that allowed users to design their own chip from their own facility by remote login to LSI Logic's system. 648: 479: 77: 310:
densities of up to 1,200 gates. A couple of years later, CDI followed up with "channel-less" gate arrays that reduced the row blockages caused by a more complex silicon underlayer that pre-wired the individual transistor connections to locations needed for common logic functions, simplifying the first-level metal interconnect. This increased chip densities by 40%, significantly reducing manufacturing costs.
306:
drawings were still manual and then "hand" digitized. PC boards, meanwhile, had moved from custom rubylith to PC tape for interconnects. IMI created to-scale photo enlargements of the base layers. Using decals of logic gate connections and PC tape to interconnect these gates, custom circuits could be quickly laid out by hand for these relatively small circuits, and photo-reduced using existing technologies.
1522: 554:. These logic gates can then be further interconnected into a complete circuit on the same or later metal layers. The creation of a circuit with a specified function is accomplished by adding this final layer or layers of metal interconnects to the chip late in the manufacturing process, allowing the function of the chip to be customized as desired. These layers are analogous to the copper layers of a 409:
broadly similar to those of Ferranti's products including automatic layout, routing, rule checking and simulation functionality for the design of gate arrays. Qudos employed electron beam lithography, etching designs onto Ferranti ULA devices that formed the physical basis of these custom chips. Typical prototype production costs were stated as ÂŁ100 per chip. Quickchip was subsequently ported to the
22: 177: 461:
to keep their fab plants full and afford constant modernization in a fast-moving industry became hyper-competitive. The many new entrants to the market drove gate array prices down to the marginal costs of the silicon manufacturers. Fabless companies such as LSI Logic and CDI survived on selling design services and computer time rather than on production revenues.
301:
somewhat tedious and error-prone due to the lack of good software tools. IMI tapped into PC board development techniques to minimize manual customization effort. Chips at the time were designed by hand, drawing all components and interconnecting on precision gridded Mylar sheets, using colored pencils to delineate each processing layer.
249:
around 30 percent. Ferranti's "major competitors" were other British companies such as Marconi and Plessey, both of which had licensed technology from another British company, Micro Circuit Engineering. A contemporary initiative, UK5000, also sought to produce a CMOS gate array with "5,000 usable gates", with involvement from
277:) technology opened the door to the broad commercialization of gate arrays. The first CMOS gate arrays were developed by Robert Lipp in 1974 for International Microcircuits, Inc. (IMI) a Sunnyvale photo-mask shop started by Frank Deverse, Jim Tuttle and Charlie Allen, ex-IBM employees. This first product line employed 1423:
and associated SRAMs and DRAMs, the bulk of the system logic is implemented in Fujitsu CG61 0.35-ÎĽm gate arrays, as shown in Table I. (Processor Interface, Crossbar, Memory Interface, Node-to-Node Interface) One additional gate array is implemented in the much less expensive CG51 0.5-ÎĽm process. (I/O
464:
As of the early 21st century, the gate array market was a remnant of its former self, driven by the FPGA conversions done for cost or performance reasons. IMI moved out of gate arrays into mixed-signal circuits and was later acquired by Cypress Semiconductor in 2001; CDI closed its doors in 1989; and
460:
during the 1980s that created a boom-bust cycle. The 1980 and 1981–1982 general recessions were followed by high-interest rates that curbed capital spending. This reduction played havoc on the semiconductor business, which at the time was highly dependent on capital spending. Manufacturers desperate
334:
Early gate arrays were low-performance and relatively large and expensive compared to state-of-the-art n-MOS technology then being used for custom chips. CMOS technology was being driven by very low-power applications such as watch chips and battery-operated portable instrumentation, not performance.
1355:
To reduce the IC count on the video card, a PLA (programmable logic array) and a TTL gate array are used. The gate array implements most of the circuitry of the graphics controller section, including control of the RAM. Compared to discrete circuitry, the gate array consumes one fifth the space, one
342:
By the early 1980s, gate arrays were starting to move out of their niche applications to the general market. Several factors in technology and markets were converging. Size and performance were increasing; automation was maturing; technology became "hot" when in 1981 IBM introduced its new flagship
617:
An application circuit must be built on a gate array that has enough gates, wiring, and I/O pins. Since requirements vary, gate arrays usually come in families, with larger members having more of all resources, but correspondingly more expensive. While the designer can fairly easily count how many
404:
Ferranti followed up on the ULA Designer with the Silicon Design System product based on the VAX-11/730 with 1 MB of RAM, 120 MB Winchester disk, and utilising a high-resolution display driven by a graphics unit with 500 KB of its own memory for "high speed windowing, painting, and
305:
sheets were then cut and peeled to create a (typically) 200x to 400x scale representation of the process layer. This was then photo-reduced to make a 1x mask. Digitization rather than rubylith cutting was just coming in as the latest technology, but initially, it only removed the rubylith stage;
1385:
All modules utilize LSI Logic LCA100K series gate arrays for the system bus interface and for on-board logic functions. The LSI Logic LCA100K features up to 235K two-input NAND gates. All modules use the same custom I/O driver circuit within their respective gate arrays to drive and receive the
408:
Other British companies developed products for gate array design and fabrication. Qudos Limited, a spin-off from Cambridge University, offered a chip design product called Quickchip available for VAX and MicroVAX II systems and as a complete $ 11,000 turnkey solution, providing a suite of tools
248:
in 1972, expanding to "practically all European camera manufacturers" as users of the technology, led to the company's dominance in this particular market throughout the 1970s. However, by 1982, as many as 30 companies had started to compete with Ferranti, reducing the company's market share to
626:
with the same gate count.) Since unused routing tracks increase the cost (and decrease the performance) of the part without providing any benefit, gate array manufacturers try to provide just enough tracks so that most designs that will fit in terms of gates and I/O pins can be routed. This is
400:
In an attempt to reduce the costs and increase the accessibility of gate array design and production, Ferranti introduced in 1982 a computer-aided design tool for their uncommitted logic array (ULA) product called ULA Designer. Although costing ÂŁ46,500 to acquire, this tool promised to deliver
300:
in 2-3 row pairs across the chip; and running all interconnect on grids rather than minimum custom spacing, which had been the standard until then. This later innovation paved the way to full automation when coupled with the development of 2-layer CMOS arrays. Customizing these first parts was
309:
After a falling out with IMI, Robert Lipp went on to start California Devices, Inc. (CDI) in 1978 with two silent partners, Bernie Aronson, and Brian Tighe. CDI quickly developed a product line competitive to IMI and, shortly thereafter, a 5-micron silicon gate single-layer product line with
396:
took over much of the personal computer market, and the sales volumes made full-custom chips more economical. Commodore's Amiga series used gate arrays for the Gary and Gayle custom chips, as their code names may suggest.
1386:
system bus. A custom 419-pin pin grid array (PGA) package was developed to house all bus interface gate arrays. ... A minimal DEC 7000 system includes 430,000 gates of logic contained in gate arrays, whereas a minimal
204:, etc.) according to custom order by adding metal interconnect layers in the factory. It was popular during the upheaval in the semiconductor industry in the 1980s, and its usage declined by the end of the 1990s. 605:
costs as fewer custom masks need to be produced. In addition, manufacturing test tooling lead time and costs are reduced — the same test fixtures can be used for all gate array products manufactured on the same
592:
are usually prefabricated and stockpiled in large quantities regardless of customer orders. The design and fabrication according to the individual customer specifications can be finished in a shorter time than
243:
ULA technology, offering circuits of "100 to 10,000 gates and above" by 1983. The company's early lead in semi-custom chips, with the initial application of a ULA integrated circuit involving a camera from
634:
The main drawbacks of gate arrays are their somewhat lower density and performance compared with other approaches to ASIC design. However, this style is often a viable approach for low production volumes.
799:
In the UK, Ferranti, with their bipolar collector diffused isolation (CDI) arrays, pioneered the commercial use of gate arrays and for many years this was by far the most widely used technology.
444:
Designers still wished for a way to create their own complex chips without the expense of full-custom design, and eventually, this wish was granted with the arrival of not only the FPGA, but
207:
Similar technologies have also been employed to design and manufacture analog, analog-digital, and structured arrays, but, in general, these are not called gate arrays.
347:
mainframe with CPU comprising gate arrays,; they were used in a consumer product, the ZX81; and new entrants to the market increased visibility and credibility.
289:(CAD) technology at the time was very rudimentary due to the low processing power available, so the design of these first products was only partially automated. 259:
developed proprietary bipolar master slices that it used in mainframe manufacturing in the late 1970s and early 1980s, but never commercialized them externally.
618:
gates and I/Os pins are needed, the number of routing tracks needed may vary considerably even among designs with the same amount of logic. (For example, a
923: 40: 292:
This product pioneered several features that went on to become standard in future designs. The most important were: the strict organization of
1460: 437:
was founded in 1984, and its first products were much like early gate arrays, slow and expensive, fit only for some niche markets. However,
1486: 1473: 457: 189: 1500: 1257: 141: 1179: 1447: 113: 1369: 1019: 582: 526: 445: 274: 160: 58: 721:
were implemented by gate arrays as were some graphic terminal functions. Some supporting hardware in at least 1990s
508: 193: 120: 614:; unlike gate arrays, structured ASICs tend to include predefined or configurable memories and/or analog blocks. 566: 339:
as well as a vehicle for the introduction of other later mass-produced products such as modems and cell phones.
1152: 504: 98: 456:
While the market boomed, profits for the industry were lacking. Semiconductors underwent a series of rolling
430: 318: 127: 1526: 1419:
The PA 8000s will initially run at 180 MHz, with the rest of the system running at 120 MHz. Except for the
336: 1402:
Bening, L.C.; Brewer, T.M.; Foster, H.D.; Quigley, J.S.; Sussman, R.A.; Vogel, P.F.; Wells, A.W. (1997).
500: 410: 94: 1340:"Hardware Design of the HP 150 Personal Computer...it's really two products — a computer and a terminal" 1099: 264: 109: 866: 746: 543:
having no predetermined function. These transistors can be connected by metal layers to form standard
465:
LSI Logic abandoned the market in favor of standard products and was eventually acquired by Broadcom.
841: 441:
quickly made them a force and, by the early 1990s, were seriously disrupting the gate array market.
1205: 611: 607: 489: 1231: 962: 931: 659: 493: 260: 201: 87: 1061: 956: 722: 1403: 1339: 1310: 1125: 570: 555: 286: 1551: 892: 811: 771: 1284: 8: 589: 562: 327: 134: 983: 574: 240: 1387: 1025: 1015: 783: 361: 1534: 1083: 36: 544: 418: 351: 1449:
Quick Reference Guide: Discrete Semiconductors, Integrated Circuits, Power Mosfets
278: 619: 377: 355: 250: 1009: 695: 628: 623: 438: 385: 1404:"Physical Design of 0.35-ÎĽm Gate Arrays for Symmetric Multiprocessing Servers" 1545: 1029: 924:"1967: Application Specific Integrated Circuits employ Computer-Aided Design" 787: 718: 679: 594: 389: 369: 365: 1043: 196:
chip with components that are later interconnected into logic devices (e.g.
726: 1455:. Ferranti Semiconductoras. 1983. pp. 147– – via Bitsavers.org. 687: 598: 373: 647: 699: 551: 540: 297: 293: 282: 1488:
CMOS Channeled Gate Arrays: 1991 Data Book and Design Evaluation Guide
1259:
Hardware expansion and software applications for the Archimedes system
601:
design. The gate array approach reduces the non-recurring engineering
585:) gate arrays were later developed and came to dominate the industry. 691: 602: 414: 381: 197: 1338:
Watkins, J.E.; Brown, P.A.; Szeman, G.; Carrie, S.E. (August 1984).
1326:
The Series 37 CPU chip is a CMOS gate array using nearly 8000 gates.
893:"Silicon Micro-Electronics at British Telecom Research Laboratories" 478: 76: 1508:. LSI Logic. 1991. pp. 41–54. 13000 – via Bitsavers.org. 1475:
Channelless Gate Arrays: 1990 Data Book and Design Evaluation Guide
548: 344: 302: 236: 1535:"Inside an unusual 7400-series chip implemented with a gate array" 1420: 1171: 714: 710: 267:
and transistor-transistor logic called Micromosaic and Polycell.
1370:"Technical description of the DEC 7000 and DEC 10000 AXP family" 751:. Ferranti Electronic Components Division. March 1977. p. 1 588:
Gate array master slices with unfinished chips arrayed across a
1521: 843:
Ferranti Discrete and Integrated Circuits Quick Reference Guide
434: 393: 245: 354:, Bill O'Meara, Rob Walker, and Mitchell "Mick" Bohn founded 1468:. Texas Instruments. 1988. SRG006A – via BitSavers.org. 1144: 703: 281:
single-level metal CMOS technology and ranged from 50 to 400
683: 610:
size. Gate arrays were the predecessor of the more complex
578: 270: 263:
also flirted briefly in the late 1960s with bipolar arrays
176: 253:
and a number of other major British technology companies.
1401: 256: 1337: 539:
A gate array is a prefabricated silicon chip with most
429:
Indirect competition arose with the development of the
235:
Gate arrays had several concurrent development paths.
1265:. Acorn Computers Limited. September 1988. p. 22 1224: 1198: 1092: 858: 1086:— article about the ZX Spectrum ULA compatible chip 218:), which also offered linear circuit functions, and 627:determined by estimates such as those derived from 376:. A compatible chip was made in Russia as T34VG1. 101:. Unsourced material may be challenged and removed. 31:
may be too technical for most readers to understand
1311:"Simplicity in a Microcoded Computer Architecture" 1180:"An emerging market for British engineering tools" 1011:The ZX Spectrum ULA: How To Design A Microcomputer 885: 867:"Great Britain Develops Semicustom and Custom ICs" 1543: 1367: 1126:"Ferranti Introduces CAD System for Gate Arrays" 834: 388:. Many other manufacturers from the time of the 188:is an approach to the design and manufacture of 739: 323: 1462:TGC100 Series 1-ÎĽm CMOS Gate Arrays Data Sheet 1118: 772:"The Use of Gate Arrays in Telecommunications" 1153:"Automation Cuts Design Time for Gate Arrays" 392:boom period used ULAs in their machines. The 1250: 682:in the early to mid 1980s, including in the 1066:. Computer History Museum. 30 November 2011 507:. Unsourced material may be challenged and 1494:. Fujitsu. 1991 – via Bitsavers.org. 1481:. Fujitsu. 1990 – via Bitsavers.org. 763: 1206:"Universities choose chip design on Beeb" 1132:. No. 105. February 1982. p. 54 631:or by experiments with existing designs. 565:, usually configured as high-performance 527:Learn how and when to remove this message 161:Learn how and when to remove this message 59:Learn how and when to remove this message 43:, without removing the technical details. 1532: 1356:fourth the power, and one half the cost. 864: 812:"Everybody's talking about Ferranti ICs" 804: 769: 729:servers was implemented by gate arrays. 317: 190:application-specific integrated circuits 175: 1308: 1544: 1177: 1150: 897:British Telecommunications Engineering 776:British Telecommunications Engineering 1368:Allison, B.R.; Van Ingen, C. (1992). 1007: 748:The 224 Cell Uncommitted Array Family 41:make it understandable to non-experts 1178:Coffey, Margaret (15 October 1986). 951: 949: 947: 918: 916: 914: 865:Turmaine, Bradley (6 October 1982). 678:Gate arrays were used widely in the 642: 505:adding citations to reliable sources 472: 239:in the UK pioneered commercializing 210:Gate arrays have also been known as 99:adding citations to reliable sources 70: 15: 561:The earliest gate arrays comprised 13: 1433: 622:requires much more routing than a 14: 1563: 1514: 1159:. pp. 197–198, 200, 202, 204 1151:Walker, Anthony V. (March 1984). 944: 911: 446:complex programmable logic device 413:, with a low-end version for the 384:, and later a single ULA for the 1520: 1309:Amerson, F.C. (September 1985). 646: 477: 75: 20: 1446:"3. Uncommitted Logic Arrays". 1395: 1361: 1331: 1302: 1277: 1077: 1054: 1036: 1001: 871:Heidelberg Elektronik Industrie 424: 86:needs additional citations for 976: 846:. Ferranti. 1982. pp. IC4 380:used several ULA chips in the 372:, and later used a ULA in the 230: 1: 770:Grierson, J. R. (July 1983). 732: 431:field-programmable gate array 368:design to a ULA chip for the 313: 1533:Shirriff, Ken (March 2024). 1063:LSI Logic oral history panel 7: 1238:. September 1986. p. 7 567:transistor–transistor logic 411:Acorn Cambridge Workstation 10: 1568: 1130:Wuerzburg Elektronikpraxis 451: 225: 173:Type of integrated circuit 1377:Digital Technical Journal 1291:. Computer History Museum 990:. Computer History Museum 583:metal–oxide–semiconductor 468: 275:metal–oxide–semiconductor 1212:. April 1986. p. 15 1106:. March 1982. p. 17 709:In the 1980s, the Forth 212:uncommitted logic arrays 1502:Short Form Catalog 1991 1411:Hewlett-Packard Journal 1347:Hewlett Packard Journal 1318:Hewlett Packard Journal 1014:. ZX Design and Media. 963:Computer History Museum 932:Computer History Museum 899:: 230–236. October 1986 816:British Telecom Journal 638: 261:Fairchild Semiconductor 1390:includes 94,000 gates. 1044:"Uncommitted IC logic" 958:Lipp, Bob oral history 577:logic configurations. 331: 265:diode–transistor logic 181: 1499:"Array Based ASICS". 1008:Smith, Chris (2010). 717:Series 37 CPUs, both 571:emitter-coupled logic 556:printed circuit board 337:CB craze in the 1970s 321: 298:p-channel transistors 287:Computer-aided design 179: 1529:at Wikimedia Commons 1100:"Make chips at home" 1050:. EDN. 5 April 1980. 501:improve this section 95:improve this article 1184:Electronic Business 563:bipolar transistors 364:ported an enhanced 328:Timex Sinclair 1000 1388:VAX 6000 Model 200 1289:The Silicon Engine 988:The Silicon Engine 965:. 14 February 2017 928:The Silicon Engine 658:. You can help by 575:current-mode logic 332: 182: 1525:Media related to 1186:. pp. 46, 48 822:(4). January 1983 676: 675: 537: 536: 529: 362:Sinclair Research 220:semi-custom chips 180:Sinclair ZX81 ULA 171: 170: 163: 145: 69: 68: 61: 1559: 1538: 1524: 1509: 1507: 1495: 1493: 1482: 1480: 1469: 1467: 1456: 1454: 1427: 1426: 1408: 1399: 1393: 1392: 1374: 1365: 1359: 1358: 1344: 1335: 1329: 1328: 1315: 1306: 1300: 1299: 1297: 1296: 1281: 1275: 1274: 1272: 1270: 1264: 1254: 1248: 1247: 1245: 1243: 1228: 1222: 1221: 1219: 1217: 1202: 1196: 1195: 1193: 1191: 1175: 1169: 1168: 1166: 1164: 1148: 1142: 1141: 1139: 1137: 1122: 1116: 1115: 1113: 1111: 1096: 1090: 1089: 1081: 1075: 1074: 1072: 1071: 1058: 1052: 1051: 1040: 1034: 1033: 1005: 999: 998: 996: 995: 980: 974: 973: 971: 970: 953: 942: 941: 939: 938: 920: 909: 908: 906: 904: 889: 883: 882: 880: 878: 873:. pp. 43–46 862: 856: 855: 853: 851: 838: 832: 831: 829: 827: 808: 802: 801: 796: 794: 767: 761: 760: 758: 756: 743: 702:, and Commodore 671: 668: 650: 643: 532: 525: 521: 518: 512: 481: 473: 419:Acorn Archimedes 352:Wilfred Corrigan 325: 192:(ASICs) using a 166: 159: 155: 152: 146: 144: 103: 79: 71: 64: 57: 53: 50: 44: 24: 23: 16: 1567: 1566: 1562: 1561: 1560: 1558: 1557: 1556: 1542: 1541: 1517: 1512: 1505: 1498: 1491: 1485: 1478: 1472: 1465: 1459: 1452: 1445: 1436: 1434:Further reading 1431: 1430: 1406: 1400: 1396: 1372: 1366: 1362: 1342: 1336: 1332: 1313: 1307: 1303: 1294: 1292: 1283: 1282: 1278: 1268: 1266: 1262: 1256: 1255: 1251: 1241: 1239: 1232:"News in brief" 1230: 1229: 1225: 1215: 1213: 1204: 1203: 1199: 1189: 1187: 1176: 1172: 1162: 1160: 1157:Computer Design 1149: 1145: 1135: 1133: 1124: 1123: 1119: 1109: 1107: 1098: 1097: 1093: 1087: 1082: 1078: 1069: 1067: 1060: 1059: 1055: 1042: 1041: 1037: 1022: 1006: 1002: 993: 991: 982: 981: 977: 968: 966: 955: 954: 945: 936: 934: 922: 921: 912: 902: 900: 891: 890: 886: 876: 874: 863: 859: 849: 847: 840: 839: 835: 825: 823: 810: 809: 805: 792: 790: 768: 764: 754: 752: 745: 744: 740: 735: 672: 666: 663: 656:needs expansion 641: 620:crossbar switch 612:structured ASIC 581:(complementary 533: 522: 516: 513: 498: 482: 471: 454: 427: 378:Acorn Computers 316: 273:(complementary 251:British Telecom 233: 228: 174: 167: 156: 150: 147: 104: 102: 92: 80: 65: 54: 48: 45: 37:help improve it 34: 25: 21: 12: 11: 5: 1565: 1555: 1554: 1540: 1539: 1530: 1516: 1515:External links 1513: 1511: 1510: 1496: 1483: 1470: 1457: 1442: 1441: 1440: 1435: 1432: 1429: 1428: 1394: 1360: 1330: 1301: 1276: 1249: 1223: 1197: 1170: 1143: 1117: 1091: 1076: 1053: 1035: 1020: 1000: 975: 943: 910: 884: 857: 833: 803: 762: 737: 736: 734: 731: 719:stack machines 696:Acorn Electron 680:home computers 674: 673: 653: 651: 640: 637: 624:systolic array 535: 534: 485: 483: 476: 470: 467: 453: 450: 426: 423: 386:Acorn Electron 315: 312: 232: 229: 227: 224: 172: 169: 168: 83: 81: 74: 67: 66: 28: 26: 19: 9: 6: 4: 3: 2: 1564: 1553: 1550: 1549: 1547: 1536: 1531: 1528: 1523: 1519: 1518: 1504: 1503: 1497: 1490: 1489: 1484: 1477: 1476: 1471: 1464: 1463: 1458: 1451: 1450: 1444: 1443: 1438: 1437: 1425: 1422: 1417:(2): 95–103. 1416: 1412: 1405: 1398: 1391: 1389: 1382: 1378: 1371: 1364: 1357: 1352: 1348: 1341: 1334: 1327: 1323: 1319: 1312: 1305: 1290: 1286: 1280: 1261: 1260: 1253: 1237: 1233: 1227: 1211: 1207: 1201: 1185: 1181: 1174: 1158: 1154: 1147: 1131: 1127: 1121: 1105: 1101: 1095: 1085: 1080: 1065: 1064: 1057: 1049: 1048:Design How-To 1045: 1039: 1031: 1027: 1023: 1021:9780956507105 1017: 1013: 1012: 1004: 989: 985: 979: 964: 960: 959: 952: 950: 948: 933: 929: 925: 919: 917: 915: 898: 894: 888: 872: 868: 861: 845: 844: 837: 821: 817: 813: 807: 800: 789: 785: 781: 777: 773: 766: 750: 749: 742: 738: 730: 728: 724: 720: 716: 712: 707: 705: 701: 697: 693: 689: 685: 681: 670: 661: 657: 654:This section 652: 649: 645: 644: 636: 632: 630: 625: 621: 615: 613: 609: 604: 600: 596: 595:standard cell 591: 586: 584: 580: 576: 572: 568: 564: 559: 557: 553: 550: 546: 542: 531: 528: 520: 510: 506: 502: 496: 495: 491: 486:This section 484: 480: 475: 474: 466: 462: 459: 449: 447: 442: 440: 436: 432: 422: 420: 417:, and to the 416: 412: 406: 402: 398: 395: 391: 390:home computer 387: 383: 379: 375: 371: 367: 363: 359: 357: 353: 348: 346: 340: 338: 329: 320: 311: 307: 304: 299: 295: 290: 288: 284: 280: 276: 272: 268: 266: 262: 258: 254: 252: 247: 242: 238: 223: 221: 217: 213: 208: 205: 203: 199: 195: 194:prefabricated 191: 187: 178: 165: 162: 154: 143: 140: 136: 133: 129: 126: 122: 119: 115: 112: â€“  111: 107: 106:Find sources: 100: 96: 90: 89: 84:This article 82: 78: 73: 72: 63: 60: 52: 42: 38: 32: 29:This article 27: 18: 17: 1501: 1487: 1474: 1461: 1448: 1418: 1414: 1410: 1397: 1384: 1380: 1376: 1363: 1354: 1353:(8): 25–30. 1350: 1346: 1333: 1325: 1321: 1317: 1304: 1293:. Retrieved 1288: 1279: 1267:. Retrieved 1258: 1252: 1240:. Retrieved 1235: 1226: 1214:. Retrieved 1209: 1200: 1188:. Retrieved 1183: 1173: 1161:. Retrieved 1156: 1146: 1134:. Retrieved 1129: 1120: 1108:. Retrieved 1103: 1094: 1088:(in Russian) 1079: 1068:. Retrieved 1062: 1056: 1047: 1038: 1010: 1003: 992:. Retrieved 987: 978: 967:. Retrieved 957: 935:. Retrieved 927: 901:. Retrieved 896: 887: 875:. Retrieved 870: 860: 848:. Retrieved 842: 836: 824:. Retrieved 819: 815: 806: 798: 791:. Retrieved 782:(2): 78–80. 779: 775: 765: 753:. Retrieved 747: 741: 708: 677: 664: 660:adding to it 655: 633: 616: 587: 560: 538: 523: 514: 499:Please help 487: 463: 455: 443: 428: 425:Alternatives 407: 403: 399: 360: 349: 341: 333: 326:2C210E on a 308: 291: 269: 255: 234: 219: 215: 211: 209: 206: 185: 183: 157: 148: 138: 131: 124: 117: 110:"Gate array" 105: 93:Please help 88:verification 85: 55: 46: 30: 1552:Gate arrays 1527:Gate arrays 1383:(4): 100–. 1324:(9): 7–12. 1285:"Companies" 850:23 February 793:26 February 755:23 February 711:Novix N4016 688:ZX Spectrum 629:Rent's rule 599:full custom 552:logic gates 541:transistors 439:Moore's Law 374:ZX Spectrum 330:motherboard 231:Development 1424:Interface) 1295:2018-01-28 1242:10 October 1236:Acorn User 1216:10 October 1210:Acorn User 1070:2018-01-28 994:2018-01-28 969:2018-01-28 937:2018-01-28 826:23 January 733:References 700:Advance 86 517:April 2023 458:recessions 314:Innovation 279:7.5 micron 202:flip-flops 198:NAND gates 186:gate array 151:April 2023 121:newspapers 49:April 2024 1439:Databooks 1030:751703922 788:0262-401X 692:BBC Micro 667:June 2022 488:does not 415:BBC Micro 382:BBC Micro 356:LSI Logic 350:In 1981, 322:Ferranti 294:n-channel 1546:Category 1269:25 April 984:"People" 433:(FPGA). 303:Rubylith 237:Ferranti 1421:PA 8000 1190:2 March 1163:1 March 1136:1 March 1110:1 March 903:4 March 877:4 March 715:HP 3000 509:removed 494:sources 452:Decline 241:bipolar 226:History 135:scholar 35:Please 1104:Design 1084:Т34Đ’Đ“1 1028:  1018:  786:  469:Design 435:Xilinx 394:IBM PC 246:Rollei 137:  130:  123:  116:  108:  1506:(PDF) 1492:(PDF) 1479:(PDF) 1466:(PDF) 1453:(PDF) 1407:(PDF) 1373:(PDF) 1343:(PDF) 1314:(PDF) 1263:(PDF) 704:Amiga 590:wafer 573:, or 283:gates 142:JSTOR 128:books 1271:2021 1244:2020 1218:2020 1192:2022 1165:2022 1138:2022 1112:2022 1026:OCLC 1016:ISBN 905:2022 879:2022 852:2021 828:2021 795:2021 784:ISSN 757:2021 725:and 713:and 684:ZX81 639:Uses 603:mask 579:CMOS 545:NAND 492:any 490:cite 370:ZX81 366:ZX80 345:3081 296:and 271:CMOS 216:ULAs 114:news 723:DEC 662:. 608:die 597:or 549:NOR 547:or 503:by 324:ULA 257:IBM 97:by 39:to 1548:: 1415:48 1413:. 1409:. 1379:. 1375:. 1351:35 1349:. 1345:. 1322:36 1320:. 1316:. 1287:. 1234:. 1208:. 1182:. 1155:. 1128:. 1102:. 1046:. 1024:. 986:. 961:. 946:^ 930:. 926:. 913:^ 895:. 869:. 818:. 814:. 797:. 778:. 774:. 727:HP 706:. 698:, 694:, 690:, 686:, 569:, 558:. 421:. 285:. 222:. 200:, 184:A 1537:. 1381:4 1298:. 1273:. 1246:. 1220:. 1194:. 1167:. 1140:. 1114:. 1073:. 1032:. 997:. 972:. 940:. 907:. 881:. 854:. 830:. 820:3 780:2 759:. 669:) 665:( 530:) 524:( 519:) 515:( 511:. 497:. 214:( 164:) 158:( 153:) 149:( 139:· 132:· 125:· 118:· 91:. 62:) 56:( 51:) 47:( 33:.

Index

help improve it
make it understandable to non-experts
Learn how and when to remove this message

verification
improve this article
adding citations to reliable sources
"Gate array"
news
newspapers
books
scholar
JSTOR
Learn how and when to remove this message

application-specific integrated circuits
prefabricated
NAND gates
flip-flops
Ferranti
bipolar
Rollei
British Telecom
IBM
Fairchild Semiconductor
diode–transistor logic
CMOS
metal–oxide–semiconductor
7.5 micron
gates

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.

↑