476:
3482:
3492:
862:
708:
3502:
316:
167:. The chips were easier to lay out and more likely to function correctly, since their designs could be simulated more thoroughly prior to construction. Although the languages and tools have evolved, this general approach of specifying the desired behavior in a textual programming language and letting the tools derive the detailed physical design remains the basis of digital IC design today.
809:
Many EDA companies acquire small companies with software or other technology that can be adapted to their core business. Most of the market leaders are amalgamations of many smaller companies and this trend is helped by the tendency of software companies to design tools as accessories that fit
277:
Current digital flows are extremely modular, with front ends producing standardized design descriptions that compile into invocations of units similar to cells without regard to their individual technology. Cells implement logic or other electronic functions via the utilisation of a particular
698:
Functional safety synthesis, add reliability enhancements to structured elements (modules, RAMs, ROMs, register files, FIFOs) to improve fault detection / fault tolerance. This includes (not limited to) addition of error detection and / or correction codes (Hamming), redundant logic for fault
281:
Most analog circuits are still designed in a manual fashion, requiring specialist knowledge that is unique to analog design (such as matching concepts). Hence, analog EDA tools are far less modular, since many more functions are required, they interact more strongly and the components are, in
128:, responsible for generating a monochromatic exposure image, but even those copied digital recordings of mechanically drawn components. The process was fundamentally graphic, with the translation from electronics to graphics done manually; the best-known company from this era was
186:, a consortium of universities and fabricators that developed an inexpensive way to train student chip designers by producing real integrated circuits. The basic concept was to use reliable, low-cost, relatively low-technology IC processes and pack a large number of projects per
297:
facilities ("fabs") and additional individuals responsible for utilising the technology design-service companies who use EDA software to evaluate an incoming design for manufacturing readiness. EDA tools are also used for programming design functionality into
565:: starting with a proposed layout, compute the (approximate) electrical characteristics of every wire and device. Often used in conjunction with static timing analysis above to estimate the performance of the completed chip.
190:, with several copies of chips from each project remaining preserved. Cooperating fabricators either donated the processed wafers or sold them at cost, as they saw the program as helpful to their own long-term growth.
702:
Functional safety verification, running of a fault campaign, including insertion of faults into the design and verification that the safety mechanism reacts in an appropriate manner for the faults that are deemed
89:
chips. Since a modern semiconductor chip can have billions of components, EDA tools are essential for their design; this article in particular describes EDA specifically with respect to
251:. Simulators quickly followed these introductions, permitting direct simulation of chip designs and executable specifications. Within several years, back-ends were developed to perform
585:, PV: checking if a design is physically manufacturable, and that the resulting chips will not have any function-preventing physical defects, and will meet original specifications.
278:
integrated circuit technology. Fabricators generally provide libraries of components for their production processes, with simulation models that fit standard simulation tools.
1422:
459:– Use of special purpose hardware to emulate the logic of a proposed design. Can sometimes be plugged into a system in place of a yet-to-be-built chip; this is called
159:
in 1980; considered the standard textbook for chip design. The result was an increase in the complexity of the chips that could be designed, with improved access to
1487:
892:
1435:
199:
842:
832:
1202:
1101:
1068:
334:
383:(additionally known as behavioral synthesis or algorithmic synthesis) – The high-level design description (e.g. in C/C++) is converted into
236:
as a hardware description language. Within a few years, there were many companies specializing in EDA, each with a slightly different emphasis.
695:(FIT) rates and diagnostic coverage metrics for designs in order to meet the compliance requirements for the desired safety integrity levels.
575:, solve Maxwell's equations directly for cases of interest in IC and PCB design. They are known for being slower but more accurate than the
17:
1528:
216:, had pursued EDA internally, with managers and developers beginning to spin out of these companies to concentrate on EDA as a business.
496:
matches specifications and executes tasks correctly. Includes dynamic functional verification via simulation, emulation, and prototypes.
2518:
531:: attempts to prove, by mathematical methods, that the system has certain desired properties, and that some undesired effects (such as
1082:
Ousterhout, John K., Gordon T. Hamachi, Robert N. Mayo, Walter S. Scott, and George S. Taylor (1985). "The magic VLSI layout system".
136:
format is still in use today. By the mid-1970s, developers started to automate circuit design in addition to drafting and the first
847:
1216:
471:
simulate and analyze the underlying process technology. Electrical properties of devices are derived directly from device physics
453:
Behavioral simulation – high-level simulation of a design's architectural operation, accurate at cycle-level or interface-level.
3235:
3207:
1704:
958:
898:
3526:
3260:
1625:
1604:
1274:
1153:
1081:
676:
or BIST – The installation of self-contained test-controllers to automatically test a logic or memory structure in the design
387:
or the register transfer level, responsible for representing circuitry via the utilisation of interactions between registers.
699:
detection and fault tolerance (duplicate / triplicate) and protocol checks (interface parity, address alignment, beat count)
3111:
1027:
837:
559:: analysis of the timing of a circuit in an input-independent manner, hence finding a worst case over all possible inputs.
170:
The earliest EDA tools were produced academically. One of the most famous was the "Berkeley VLSI Tools
Tarball", a set of
3265:
2537:
1491:
668:
or ATPG – The generation of pattern data systematically to exercise as many logic-gates and other components as possible.
2770:
2304:
1052:
175:
3417:
3245:
2775:
1944:
1845:
1675:
1661:
1653:
1639:
1550:
664:
629:
612:
352:
3505:
2599:
3531:
2893:
649:
121:
were designed by hand and manually laid out. Some advanced shops used geometric software to generate tapes for a
1461:
3184:
3146:
2803:
2511:
1186:
641:
3326:
3303:
3033:
3023:
1722:
427:
3407:
2995:
2903:
2808:
2569:
827:
637:
572:
568:
514:
240:
148:
141:
42:
932:
204:
1981 marked the beginning of EDA as an industry. For many years, the larger electronic companies, such as
3495:
3230:
2728:
538:
532:
247:, another popular high-level design language, was first introduced as a hardware description language by
814:; many new tools incorporate analog design and mixed systems. This is happening due to a trend to place
3467:
3116:
2422:
2329:
1697:
903:
493:
407:– For standard cell digital, analog, RF-like Capture CIS in Orcad by Cadence and ISIS in Proteus.
229:
330:
3485:
3412:
3387:
3250:
2898:
2504:
2389:
294:
264:
248:
3336:
3169:
2755:
2624:
2447:
2417:
2274:
2184:
3397:
3331:
3222:
3038:
2698:
2487:
2407:
2294:
1757:
790:
489:
443:
414:
394:
384:
160:
1053:
Brayton, Robert K., Gary D. Hachtel, Curt McMullen, and
Alberto Sangiovanni-Vincentelli (1984).
3462:
3293:
3174:
2941:
2931:
2926:
2099:
1954:
1436:"Siemens acquires Mentor Graphics for $ 4.5 billion, eyes connected device, building expansion"
1242:
745:
556:
3432:
3402:
3392:
3288:
3202:
3078:
3018:
2985:
2975:
2858:
2823:
2813:
2750:
2619:
2594:
2589:
2554:
2254:
2229:
1949:
1713:
1690:
1513:
1095:
908:
875:
731:
721:
595:
582:
506:
502:
436:– low-level transistor-simulation of a schematic/layout's behavior, accurate at device-level.
290:
78:
35:
3192:
3164:
3136:
3131:
2960:
2936:
2888:
2871:
2866:
2848:
2838:
2833:
2795:
2745:
2740:
2657:
2603:
2354:
2234:
1939:
1864:
1256:
1135:
461:
380:
376:
Design flow primarily remains characterised via several primary components; these include:
1134:
J. Lienig, J. Scheible (2020). "Chap. 6: Special Layout
Techniques for Analog IC Design".
8:
3457:
3382:
3298:
3283:
3048:
2828:
2785:
2780:
2677:
2667:
2639:
2364:
2349:
2214:
1800:
986:
524:
225:
187:
111:
3422:
3321:
3197:
3154:
3063:
3005:
2990:
2980:
2765:
2564:
2109:
1840:
1583:
1280:
1196:
1159:
1062:
867:
672:
456:
285:
EDA for electronics has rapidly increased in importance with the continuous scaling of
118:
90:
74:
3442:
3372:
3351:
3313:
3121:
3088:
3068:
2760:
2672:
2546:
2379:
2359:
2324:
1904:
1777:
1671:
1657:
1649:
1635:
1621:
1600:
1284:
1270:
1182:
1163:
1149:
811:
688:
576:
562:
509:, but these checks/tools specialize in detecting and reporting potential issues like
404:
371:
272:
182:, a computer-aided design platform. Another crucial development was the formation of
82:
2041:
228:
were all founded around this time and collectively referred to as DMV. In 1981, the
3275:
3159:
3126:
2921:
2843:
2732:
2718:
2713:
2662:
2649:
2574:
2527:
1830:
1614:
1593:
1348:
1323:
1298:
1262:
1141:
913:
815:
692:
599:
439:
179:
164:
3346:
3240:
3212:
3106:
3058:
3043:
3028:
2883:
2878:
2818:
2708:
2682:
2634:
2579:
2169:
2159:
2104:
2019:
779:
656:
447:
410:
390:
252:
221:
205:
137:
1398:
3452:
3356:
3255:
3101:
3073:
2224:
2189:
2034:
1909:
1899:
1373:
1255:
J. Lienig, J. Scheible (2020). "Chap. 3.3: Mask Data: Layout Post
Processing".
881:
528:
499:
RTL Linting for adherence to coding rules such as syntax, semantics, and style.
468:
268:
66:
1618:
1597:
1266:
1145:
3520:
3341:
2629:
2029:
1884:
286:
217:
122:
86:
31:
615:
of the layout. Examples of the latter are a seal ring and filler structures.
475:
302:
or field-programmable gate arrays, customisable integrated circuit designs.
3437:
3096:
2432:
2264:
2154:
2129:
2119:
2004:
1974:
1324:"Cadence Design Systems Inc (CDNS) Stock Price & News - Google Finance"
886:
518:
200:
Productivity-improving technologies § Semiconductor device fabrication
125:
1558:
3427:
3053:
2965:
2402:
2339:
2309:
2204:
2024:
645:
156:
152:
70:
3447:
3377:
2970:
2703:
2559:
2442:
2314:
2299:
2244:
2066:
2061:
2056:
2051:
2009:
1994:
1979:
1782:
797:
2952:
2913:
2469:
2427:
2412:
2374:
2199:
2071:
1772:
633:
602:
510:
397:
design description (e.g. written in
Verilog or VHDL) into a discrete
209:
3013:
2496:
2369:
2319:
2269:
2249:
2239:
2179:
2164:
2114:
2076:
1984:
1969:
1934:
1919:
1914:
1889:
1879:
1850:
1825:
1810:
1762:
1752:
1747:
861:
739:
707:
652:
effects occurring later when chip is manufactured using this mask.
174:
utilities used to design early VLSI systems. Widely used were the
2475:
2194:
2174:
2149:
2144:
2139:
2134:
2124:
2081:
2014:
1989:
1964:
1959:
1894:
1835:
1805:
1742:
1737:
783:
774:
Market capitalization and company name as of
December 2011:
546:
542:
398:
244:
147:
The next era began following the publication of "Introduction to
2437:
2046:
1999:
1924:
1682:
1611:
VLSI Physical Design: From Graph
Partitioning to Timing Closure
1299:"Synopsys, Inc. (SNPS) Stock Price & News - Google Finance"
987:"EDA (Electronic Design Automation) - Where Electronics Begins"
936:
757:
144:
catalogued the large majority of the developments of the time.
140:
tools were developed; as this occurred, the proceedings of the
1374:"Altium Limited (ALU) Stock Price & News - Google Finance"
2463:
2259:
2219:
2209:
1929:
1820:
1632:
Electronic Design
Automation For Integrated Circuits Handbook
1179:
Electronic Design
Automation For Integrated Circuits Handbook
1176:
763:
751:
660:– The generation of flat mask image from hierarchical design.
611:
which includes custom designations and structures to improve
433:
213:
183:
133:
129:
628:
that enhances layout data with graphics operations, such as
2397:
2344:
2334:
1815:
1767:
299:
233:
171:
106:
The earliest electronic design automation is attributed to
30:"ECAD" redirects here. For the Brazilian organization, see
1399:"Zuken Inc (6947) Stock Price & News - Google Finance"
1115:
Tomovich, Christine (1988). "MOSIS-A gateway to silicon".
786:
acquired Mentor in 2017 and renamed as
Siemens EDA in 2021
41:"Electronic design" redirects here. For the magazine, see
935:. Electronic Design Automation Consortium. Archived from
107:
632:(RET) – methods for increasing the quality of the final
1028:"Carver Mead Awarded Kyoto Prize by Inamori Foundation"
1668:
Combinatorial Algorithms for Integrated Circuit Layout
1462:"Mentor Finally Becomes Siemens EDA From January 2021"
1254:
1133:
893:
Foundations and Trends in Electronic Design Automation
810:
naturally into a larger vendor's suite of programs on
1648:, by Dirk Jansen et al., Kluwer Academic Publishers,
1590:
Fundamentals of Layout Design for Electronic Circuits
1258:
Fundamentals of Layout Design for Electronic Circuits
1137:
Fundamentals of Layout Design for Electronic Circuits
1057:. Vol. 2. Springer Science & Business Media.
959:"1966: Computer Aided Design Tools Developed for ICs"
85:
that chip designers use to design and analyze entire
1584:
http://www.staticfreesoft.com/documentsTextbook.html
857:
178:, responsible for circuit complexity reductions and
1114:
843:
Asia and South Pacific Design Automation Conference
325:
may be too technical for most readers to understand
417:, like Layout in Orcad by Cadence, ARES in Proteus
1526:
833:International Conference on Computer-Aided Design
3518:
1586:Computer Aids for VLSI Design by Steven M. Rubin
1529:"EDA Innovation through Merger and Acquisitions"
1055:Logic minimization algorithms for VLSI synthesis
605:, utilised to physically manufacture the chip.
2536:Note: This template roughly follows the 2012
2512:
1698:
1551:"Semi Wiki:EDA Mergers and Acquisitions Wiki"
1514:"Synopsys to Acquire Magma Design Automation"
1485:
800:; Synopsys acquired SpringSoft in August 2012
711:PCB layout and schematic for connector design
239:The first trade show for EDA was held at the
1201:: CS1 maint: multiple names: authors list (
1100:: CS1 maint: multiple names: authors list (
1067:: CS1 maint: multiple names: authors list (
589:
483:
1349:"ANSYS, Inc. (ANSS) Stock Price & News"
2519:
2505:
1705:
1691:
1127:
981:
979:
816:entire electronic systems on a single chip
793:; Synopsys acquired Magma in February 2012
549:, to ensure functional equivalence at the
541:: algorithmic comparison between a chip's
1646:The Electronic Design Automation Handbook
1488:"Synopsys to buy Magma for $ 507 million"
450:0/1) behavior, accurate at Boolean-level.
353:Learn how and when to remove this message
337:, without removing the technical details.
27:Software for designing electronic systems
848:Symposia on VLSI Technology and Circuits
821:
706:
474:
1011:
976:
951:
644:(ILT) – the up-front compensation for
622:with test patterns and alignment marks.
14:
3519:
3236:Knowledge representation and reasoning
1425:. Google.com. Retrieved on 2013-08-10.
1248:
1177:Lavagno, Martin, and Scheffer (2006).
1020:
899:Signoff (electronic design automation)
3261:Philosophy of artificial intelligence
2500:
1686:
1459:
335:make it understandable to non-experts
2580:Energy consumption (Green computing)
2526:
1634:, by Lavagno, Martin, and Scheffer,
838:Design Automation and Test in Europe
691:analysis, systematic computation of
682:
309:
3266:Distributed artificial intelligence
2538:ACM Computing Classification System
1613:, by Kahng, Lienig, Markov and Hu,
1486:Dylan McGrath (November 30, 2011).
1423:Company Comparison - Google Finance
1084:IEEE Design & Test of Computers
734:and company name as of March 2023:
305:
193:
24:
2771:Integrated development environment
1557:. January 16, 2011. Archived from
1460:Dahad, Nitin (December 15, 2020).
1117:IEEE Circuits and Devices Magazine
598:or MDP - The generation of actual
545:-description and synthesized gate-
503:Clock domain crossing verification
176:Espresso heuristic logic minimizer
25:
3543:
3246:Automated planning and scheduling
2776:Software configuration management
1656:, 2003, available also in German
1592:, by Lienig, Scheible, Springer,
665:Automatic test pattern generation
630:resolution enhancement techniques
401:or representation of logic gates.
117:Prior to the development of EDA,
3500:
3490:
3481:
3480:
1712:
860:
314:
59:electronic computer-aided design
3491:
2894:Computational complexity theory
1543:
1520:
1506:
1479:
1453:
1428:
1416:
1391:
1366:
1341:
1316:
1291:
1235:
1209:
1170:
804:
81:. The tools work together in a
2678:Network performance evaluation
1261:. Springer. pp. 102–110.
1140:. Springer. pp. 213–256.
1108:
1075:
1046:
1005:
925:
642:inverse lithography technology
232:additionally began funding of
110:with the documentation of its
13:
1:
3049:Multimedia information system
3034:Geographic information system
3024:Enterprise information system
2613:Computer systems organization
1945:Creo Elements/Direct Drafting
1012:Meade, Carver; Conway, Lynn.
919:
569:Electromagnetic field solvers
428:Electronic circuit simulation
421:
258:
101:
3527:Electronic design automation
3408:Computational social science
2996:Theoretical computer science
2809:Software development process
2585:Electronic design automation
2570:Very Large Scale Integration
828:Design Automation Conference
715:
638:optical proximity correction
241:Design Automation Conference
142:Design Automation Conference
51:Electronic design automation
43:Electronic Design (magazine)
18:Electronic Design Automation
7:
3231:Natural language processing
3019:Information storage systems
1014:Introduction to VLSI Design
853:
446:or gate-netlist's digital (
442:– digital-simulation of an
393:– The translation of
293:operators, who operate the
289:technology. Some users are
10:
3548:
3147:Human–computer interaction
3117:Intrusion detection system
3029:Social information systems
3014:Database management system
1527:Kirti Sikri Desai (2006).
904:Comparison of EDA software
769:
726:
719:
626:Layout-to-mask preparation
425:
369:
262:
230:U.S. Department of Defense
197:
96:
40:
29:
3476:
3413:Computational engineering
3388:Computational mathematics
3365:
3312:
3274:
3221:
3183:
3145:
3087:
3004:
2950:
2912:
2857:
2794:
2727:
2691:
2648:
2612:
2545:
2534:
2485:
2456:
2388:
2287:
2090:
1872:
1863:
1791:
1730:
1721:
1619:10.1007/978-3-030-96415-3
1598:10.1007/978-3-030-39284-0
1490:. EETimes. Archived from
1267:10.1007/978-3-030-39284-0
1245:Retrieved January 2, 2023
1221:Semiconductor Engineering
1217:"Functional Verification"
1146:10.1007/978-3-030-39284-0
590:Manufacturing preparation
484:Analysis and verification
479:Schematic capture program
365:
295:semiconductor fabrication
265:Integrated circuit design
249:Gateway Design Automation
3423:Computational healthcare
3418:Differentiable computing
3337:Graphics processing unit
2756:Domain-specific language
2625:Computational complexity
933:"About the EDA Industry"
505:(CDC check): similar to
114:computers in the 1950s.
3398:Computational chemistry
3332:Photograph manipulation
3223:Artificial intelligence
3039:Decision support system
2488:History of CAD software
2408:Open Cascade Technology
1758:Open Cascade Technology
1678:, Teubner Verlag, 1997.
963:Computer History Museum
791:Magma Design Automation
517:due to use of multiple
490:Functional verification
415:schematic-driven layout
57:), also referred to as
3532:Electronic engineering
3463:Educational technology
3294:Reinforcement learning
3044:Process control system
2942:Computational geometry
2932:Algorithmic efficiency
2927:Analysis of algorithms
2575:Systems on Chip (SoCs)
1955:DesignSpark Mechanical
1670:, by Thomas Lengauer,
1181:. Taylor and Francis.
746:Cadence Design Systems
712:
557:Static timing analysis
480:
79:printed circuit boards
34:. For other uses, see
3433:Electronic publishing
3403:Computational biology
3393:Computational physics
3289:Unsupervised learning
3203:Distributed computing
3079:Information retrieval
2986:Mathematical analysis
2976:Mathematical software
2859:Theory of computation
2824:Software construction
2814:Requirements analysis
2692:Software organization
2620:Computer architecture
2590:Hardware acceleration
2555:Printed circuit board
2245:SolidWorks Electrical
909:Platform-based design
876:Computer-aided design
822:Technical conferences
732:Market capitalization
722:List of EDA companies
720:Further information:
710:
636:. This also includes
596:Mask data preparation
583:Physical verification
478:
434:Transistor simulation
282:general, less ideal.
243:in 1984 and in 1986,
138:placement and routing
36:ECAD (disambiguation)
3193:Concurrent computing
3165:Ubiquitous computing
3137:Application security
3132:Information security
2961:Discrete mathematics
2937:Randomized algorithm
2889:Computability theory
2867:Model of computation
2839:Software maintenance
2834:Software engineering
2796:Software development
2746:Programming language
2741:Programming paradigm
2658:Network architecture
1723:Free and open-source
539:Equivalence checking
462:in-circuit emulation
381:High-level synthesis
65:), is a category of
3468:Document management
3458:Operations research
3383:Enterprise software
3299:Multi-task learning
3284:Supervised learning
3006:Information systems
2829:Software deployment
2786:Software repository
2640:Real-time computing
1494:on October 25, 2012
796:NT$ 6.44 billion –
756:AU$ 4.88 billion –
525:Formal verification
226:Valid Logic Systems
161:design verification
119:integrated circuits
91:integrated circuits
75:integrated circuits
3251:Search methodology
3198:Parallel computing
3155:Interaction design
3064:Computing platform
2991:Numerical analysis
2981:Information theory
2766:Software framework
2729:Software notations
2668:Network components
2565:Integrated circuit
2110:AutoCAD Electrical
868:Electronics portal
750:$ 24.98 billion –
744:$ 56.68 billion –
738:$ 57.87 billion –
713:
673:Built-in self-test
481:
457:Hardware emulation
71:electronic systems
3514:
3513:
3443:Electronic voting
3373:Quantum Computing
3366:Applied computing
3352:Image compression
3122:Hardware security
3112:Security services
3069:Digital marketing
2849:Open-source model
2761:Modeling language
2673:Network scheduler
2494:
2493:
2283:
2282:
1950:Creo Elements/Pro
1905:Autodesk Inventor
1859:
1858:
1626:978-3-030-96414-6
1605:978-3-030-39284-0
1276:978-3-030-39284-0
1155:978-3-030-39284-0
1016:. Addison-Wesley.
939:on August 2, 2015
812:digital circuitry
778:$ 2.33 billion –
762:¥77.25 billion –
689:Functional safety
683:Functional safety
613:manufacturability
577:layout extraction
563:Layout extraction
405:Schematic capture
372:Design flow (EDA)
363:
362:
355:
273:Design flow (EDA)
16:(Redirected from
3539:
3504:
3503:
3494:
3493:
3484:
3483:
3304:Cross-validation
3276:Machine learning
3160:Social computing
3127:Network security
2922:Algorithm design
2844:Programming team
2804:Control variable
2781:Software library
2719:Software quality
2714:Operating system
2663:Network protocol
2528:Computer science
2521:
2514:
2507:
2498:
2497:
2390:Modeling kernels
1870:
1869:
1728:
1727:
1707:
1700:
1693:
1684:
1683:
1571:
1570:
1568:
1566:
1561:on April 3, 2019
1547:
1541:
1540:
1538:
1536:
1524:
1518:
1517:
1510:
1504:
1503:
1501:
1499:
1483:
1477:
1476:
1474:
1472:
1457:
1451:
1450:
1448:
1446:
1432:
1426:
1420:
1414:
1413:
1411:
1409:
1395:
1389:
1388:
1386:
1384:
1370:
1364:
1363:
1361:
1359:
1345:
1339:
1338:
1336:
1334:
1320:
1314:
1313:
1311:
1309:
1295:
1289:
1288:
1252:
1246:
1239:
1233:
1232:
1230:
1228:
1223:. March 17, 2017
1213:
1207:
1206:
1200:
1192:
1174:
1168:
1167:
1131:
1125:
1124:
1112:
1106:
1105:
1099:
1091:
1079:
1073:
1072:
1066:
1058:
1050:
1044:
1043:
1041:
1039:
1024:
1018:
1017:
1009:
1003:
1002:
1000:
998:
983:
974:
973:
971:
969:
955:
949:
948:
946:
944:
929:
914:Silicon compiler
870:
865:
864:
789:$ 507 million –
440:Logic simulation
413:– usually
358:
351:
347:
344:
338:
318:
317:
310:
306:Software focuses
194:Commercial birth
165:logic simulation
163:tools that used
21:
3547:
3546:
3542:
3541:
3540:
3538:
3537:
3536:
3517:
3516:
3515:
3510:
3501:
3472:
3453:Word processing
3361:
3347:Virtual reality
3308:
3270:
3241:Computer vision
3217:
3213:Multiprocessing
3179:
3141:
3107:Security hacker
3083:
3059:Digital library
3000:
2951:Mathematics of
2946:
2908:
2884:Automata theory
2879:Formal language
2853:
2819:Software design
2790:
2723:
2709:Virtual machine
2687:
2683:Network service
2644:
2635:Embedded system
2608:
2541:
2530:
2525:
2495:
2490:
2481:
2452:
2384:
2279:
2160:DesignSpark PCB
2105:Altium Designer
2086:
1855:
1787:
1717:
1711:
1681:
1574:
1564:
1562:
1549:
1548:
1544:
1534:
1532:
1525:
1521:
1512:
1511:
1507:
1497:
1495:
1484:
1480:
1470:
1468:
1458:
1454:
1444:
1442:
1434:
1433:
1429:
1421:
1417:
1407:
1405:
1397:
1396:
1392:
1382:
1380:
1372:
1371:
1367:
1357:
1355:
1347:
1346:
1342:
1332:
1330:
1322:
1321:
1317:
1307:
1305:
1297:
1296:
1292:
1277:
1253:
1249:
1240:
1236:
1226:
1224:
1215:
1214:
1210:
1194:
1193:
1189:
1175:
1171:
1156:
1132:
1128:
1113:
1109:
1093:
1092:
1080:
1076:
1060:
1059:
1051:
1047:
1037:
1035:
1034:. June 17, 2022
1026:
1025:
1021:
1010:
1006:
996:
994:
985:
984:
977:
967:
965:
957:
956:
952:
942:
940:
931:
930:
926:
922:
866:
859:
856:
824:
807:
780:Mentor Graphics
772:
729:
724:
718:
693:failure in time
685:
657:Mask generation
592:
535:) cannot occur.
486:
430:
424:
391:Logic synthesis
374:
368:
359:
348:
342:
339:
331:help improve it
328:
319:
315:
308:
275:
263:Main articles:
261:
253:logic synthesis
222:Mentor Graphics
206:Hewlett-Packard
202:
196:
104:
99:
46:
39:
28:
23:
22:
15:
12:
11:
5:
3545:
3535:
3534:
3529:
3512:
3511:
3509:
3508:
3498:
3488:
3477:
3474:
3473:
3471:
3470:
3465:
3460:
3455:
3450:
3445:
3440:
3435:
3430:
3425:
3420:
3415:
3410:
3405:
3400:
3395:
3390:
3385:
3380:
3375:
3369:
3367:
3363:
3362:
3360:
3359:
3357:Solid modeling
3354:
3349:
3344:
3339:
3334:
3329:
3324:
3318:
3316:
3310:
3309:
3307:
3306:
3301:
3296:
3291:
3286:
3280:
3278:
3272:
3271:
3269:
3268:
3263:
3258:
3256:Control method
3253:
3248:
3243:
3238:
3233:
3227:
3225:
3219:
3218:
3216:
3215:
3210:
3208:Multithreading
3205:
3200:
3195:
3189:
3187:
3181:
3180:
3178:
3177:
3172:
3167:
3162:
3157:
3151:
3149:
3143:
3142:
3140:
3139:
3134:
3129:
3124:
3119:
3114:
3109:
3104:
3102:Formal methods
3099:
3093:
3091:
3085:
3084:
3082:
3081:
3076:
3074:World Wide Web
3071:
3066:
3061:
3056:
3051:
3046:
3041:
3036:
3031:
3026:
3021:
3016:
3010:
3008:
3002:
3001:
2999:
2998:
2993:
2988:
2983:
2978:
2973:
2968:
2963:
2957:
2955:
2948:
2947:
2945:
2944:
2939:
2934:
2929:
2924:
2918:
2916:
2910:
2909:
2907:
2906:
2901:
2896:
2891:
2886:
2881:
2876:
2875:
2874:
2863:
2861:
2855:
2854:
2852:
2851:
2846:
2841:
2836:
2831:
2826:
2821:
2816:
2811:
2806:
2800:
2798:
2792:
2791:
2789:
2788:
2783:
2778:
2773:
2768:
2763:
2758:
2753:
2748:
2743:
2737:
2735:
2725:
2724:
2722:
2721:
2716:
2711:
2706:
2701:
2695:
2693:
2689:
2688:
2686:
2685:
2680:
2675:
2670:
2665:
2660:
2654:
2652:
2646:
2645:
2643:
2642:
2637:
2632:
2627:
2622:
2616:
2614:
2610:
2609:
2607:
2606:
2597:
2592:
2587:
2582:
2577:
2572:
2567:
2562:
2557:
2551:
2549:
2543:
2542:
2535:
2532:
2531:
2524:
2523:
2516:
2509:
2501:
2492:
2491:
2486:
2483:
2482:
2480:
2479:
2473:
2467:
2460:
2458:
2454:
2453:
2451:
2450:
2445:
2440:
2435:
2430:
2425:
2420:
2415:
2410:
2405:
2400:
2394:
2392:
2386:
2385:
2383:
2382:
2377:
2372:
2367:
2362:
2357:
2352:
2347:
2342:
2337:
2332:
2327:
2322:
2317:
2312:
2307:
2302:
2297:
2291:
2289:
2285:
2284:
2281:
2280:
2278:
2277:
2272:
2267:
2262:
2257:
2252:
2247:
2242:
2237:
2232:
2227:
2222:
2217:
2212:
2207:
2202:
2197:
2192:
2190:IntegraStation
2187:
2182:
2177:
2172:
2167:
2162:
2157:
2152:
2147:
2142:
2137:
2132:
2127:
2122:
2117:
2112:
2107:
2102:
2096:
2094:
2088:
2087:
2085:
2084:
2079:
2074:
2069:
2064:
2059:
2054:
2049:
2044:
2039:
2038:
2037:
2035:Grasshopper 3D
2027:
2022:
2017:
2012:
2007:
2002:
1997:
1992:
1987:
1982:
1977:
1972:
1967:
1962:
1957:
1952:
1947:
1942:
1937:
1932:
1927:
1922:
1917:
1912:
1910:Autodesk Revit
1907:
1902:
1900:Autodesk Alias
1897:
1892:
1887:
1882:
1876:
1874:
1867:
1861:
1860:
1857:
1856:
1854:
1853:
1848:
1843:
1838:
1833:
1828:
1823:
1818:
1813:
1808:
1803:
1797:
1795:
1789:
1788:
1786:
1785:
1780:
1775:
1770:
1765:
1760:
1755:
1750:
1745:
1740:
1734:
1732:
1725:
1719:
1718:
1710:
1709:
1702:
1695:
1687:
1680:
1679:
1665:
1643:
1629:
1608:
1587:
1580:
1579:
1578:
1573:
1572:
1542:
1519:
1505:
1478:
1452:
1427:
1415:
1403:www.google.com
1390:
1378:www.google.com
1365:
1353:Google Finance
1340:
1328:www.google.com
1315:
1303:www.google.com
1290:
1275:
1247:
1234:
1208:
1187:
1169:
1154:
1126:
1107:
1074:
1045:
1019:
1004:
993:. May 25, 2013
975:
950:
923:
921:
918:
917:
916:
911:
906:
901:
896:
889:
884:
882:Circuit design
879:
872:
871:
855:
852:
851:
850:
845:
840:
835:
830:
823:
820:
806:
803:
802:
801:
794:
787:
771:
768:
767:
766:
760:
754:
748:
742:
728:
725:
717:
714:
705:
704:
700:
696:
684:
681:
680:
679:
678:
677:
669:
661:
653:
623:
620:reticle layout
616:
609:Chip finishing
591:
588:
587:
586:
580:
566:
560:
554:
536:
529:model checking
522:
521:in the design.
515:meta-stability
500:
497:
485:
482:
473:
472:
469:Technology CAD
466:
454:
451:
437:
426:Main article:
423:
420:
419:
418:
408:
402:
388:
370:Main article:
367:
364:
361:
360:
322:
320:
313:
307:
304:
269:Design closure
260:
257:
195:
192:
103:
100:
98:
95:
69:for designing
67:software tools
26:
9:
6:
4:
3:
2:
3544:
3533:
3530:
3528:
3525:
3524:
3522:
3507:
3499:
3497:
3489:
3487:
3479:
3478:
3475:
3469:
3466:
3464:
3461:
3459:
3456:
3454:
3451:
3449:
3446:
3444:
3441:
3439:
3436:
3434:
3431:
3429:
3426:
3424:
3421:
3419:
3416:
3414:
3411:
3409:
3406:
3404:
3401:
3399:
3396:
3394:
3391:
3389:
3386:
3384:
3381:
3379:
3376:
3374:
3371:
3370:
3368:
3364:
3358:
3355:
3353:
3350:
3348:
3345:
3343:
3342:Mixed reality
3340:
3338:
3335:
3333:
3330:
3328:
3325:
3323:
3320:
3319:
3317:
3315:
3311:
3305:
3302:
3300:
3297:
3295:
3292:
3290:
3287:
3285:
3282:
3281:
3279:
3277:
3273:
3267:
3264:
3262:
3259:
3257:
3254:
3252:
3249:
3247:
3244:
3242:
3239:
3237:
3234:
3232:
3229:
3228:
3226:
3224:
3220:
3214:
3211:
3209:
3206:
3204:
3201:
3199:
3196:
3194:
3191:
3190:
3188:
3186:
3182:
3176:
3175:Accessibility
3173:
3171:
3170:Visualization
3168:
3166:
3163:
3161:
3158:
3156:
3153:
3152:
3150:
3148:
3144:
3138:
3135:
3133:
3130:
3128:
3125:
3123:
3120:
3118:
3115:
3113:
3110:
3108:
3105:
3103:
3100:
3098:
3095:
3094:
3092:
3090:
3086:
3080:
3077:
3075:
3072:
3070:
3067:
3065:
3062:
3060:
3057:
3055:
3052:
3050:
3047:
3045:
3042:
3040:
3037:
3035:
3032:
3030:
3027:
3025:
3022:
3020:
3017:
3015:
3012:
3011:
3009:
3007:
3003:
2997:
2994:
2992:
2989:
2987:
2984:
2982:
2979:
2977:
2974:
2972:
2969:
2967:
2964:
2962:
2959:
2958:
2956:
2954:
2949:
2943:
2940:
2938:
2935:
2933:
2930:
2928:
2925:
2923:
2920:
2919:
2917:
2915:
2911:
2905:
2902:
2900:
2897:
2895:
2892:
2890:
2887:
2885:
2882:
2880:
2877:
2873:
2870:
2869:
2868:
2865:
2864:
2862:
2860:
2856:
2850:
2847:
2845:
2842:
2840:
2837:
2835:
2832:
2830:
2827:
2825:
2822:
2820:
2817:
2815:
2812:
2810:
2807:
2805:
2802:
2801:
2799:
2797:
2793:
2787:
2784:
2782:
2779:
2777:
2774:
2772:
2769:
2767:
2764:
2762:
2759:
2757:
2754:
2752:
2749:
2747:
2744:
2742:
2739:
2738:
2736:
2734:
2730:
2726:
2720:
2717:
2715:
2712:
2710:
2707:
2705:
2702:
2700:
2697:
2696:
2694:
2690:
2684:
2681:
2679:
2676:
2674:
2671:
2669:
2666:
2664:
2661:
2659:
2656:
2655:
2653:
2651:
2647:
2641:
2638:
2636:
2633:
2631:
2630:Dependability
2628:
2626:
2623:
2621:
2618:
2617:
2615:
2611:
2605:
2601:
2598:
2596:
2593:
2591:
2588:
2586:
2583:
2581:
2578:
2576:
2573:
2571:
2568:
2566:
2563:
2561:
2558:
2556:
2553:
2552:
2550:
2548:
2544:
2539:
2533:
2529:
2522:
2517:
2515:
2510:
2508:
2503:
2502:
2499:
2489:
2484:
2477:
2474:
2471:
2468:
2465:
2462:
2461:
2459:
2455:
2449:
2446:
2444:
2441:
2439:
2436:
2434:
2431:
2429:
2426:
2424:
2421:
2419:
2416:
2414:
2411:
2409:
2406:
2404:
2401:
2399:
2396:
2395:
2393:
2391:
2387:
2381:
2378:
2376:
2373:
2371:
2368:
2366:
2363:
2361:
2358:
2356:
2353:
2351:
2348:
2346:
2343:
2341:
2338:
2336:
2333:
2331:
2328:
2326:
2323:
2321:
2318:
2316:
2313:
2311:
2308:
2306:
2303:
2301:
2298:
2296:
2293:
2292:
2290:
2286:
2276:
2273:
2271:
2268:
2266:
2263:
2261:
2258:
2256:
2253:
2251:
2248:
2246:
2243:
2241:
2238:
2236:
2233:
2231:
2228:
2226:
2223:
2221:
2218:
2216:
2213:
2211:
2208:
2206:
2203:
2201:
2198:
2196:
2193:
2191:
2188:
2186:
2183:
2181:
2178:
2176:
2173:
2171:
2168:
2166:
2163:
2161:
2158:
2156:
2153:
2151:
2148:
2146:
2143:
2141:
2138:
2136:
2133:
2131:
2128:
2126:
2123:
2121:
2118:
2116:
2113:
2111:
2108:
2106:
2103:
2101:
2098:
2097:
2095:
2093:
2089:
2083:
2080:
2078:
2075:
2073:
2070:
2068:
2065:
2063:
2060:
2058:
2055:
2053:
2050:
2048:
2045:
2043:
2042:rattleCAD 4.0
2040:
2036:
2033:
2032:
2031:
2030:Rhinoceros 3D
2028:
2026:
2023:
2021:
2018:
2016:
2013:
2011:
2008:
2006:
2003:
2001:
1998:
1996:
1993:
1991:
1988:
1986:
1983:
1981:
1978:
1976:
1973:
1971:
1968:
1966:
1963:
1961:
1958:
1956:
1953:
1951:
1948:
1946:
1943:
1941:
1938:
1936:
1933:
1931:
1928:
1926:
1923:
1921:
1918:
1916:
1913:
1911:
1908:
1906:
1903:
1901:
1898:
1896:
1893:
1891:
1888:
1886:
1885:Alibre Design
1883:
1881:
1878:
1877:
1875:
1871:
1868:
1866:
1862:
1852:
1849:
1847:
1844:
1842:
1839:
1837:
1834:
1832:
1829:
1827:
1824:
1822:
1819:
1817:
1814:
1812:
1809:
1807:
1804:
1802:
1799:
1798:
1796:
1794:
1790:
1784:
1781:
1779:
1776:
1774:
1771:
1769:
1766:
1764:
1761:
1759:
1756:
1754:
1751:
1749:
1746:
1744:
1741:
1739:
1736:
1735:
1733:
1729:
1726:
1724:
1720:
1715:
1708:
1703:
1701:
1696:
1694:
1689:
1688:
1685:
1677:
1676:3-519-02110-2
1673:
1669:
1666:
1663:
1662:3-446-21288-4
1659:
1655:
1654:1-4020-7502-2
1651:
1647:
1644:
1641:
1640:0-8493-3096-3
1637:
1633:
1630:
1627:
1623:
1620:
1616:
1612:
1609:
1606:
1602:
1599:
1595:
1591:
1588:
1585:
1582:
1581:
1576:
1575:
1560:
1556:
1552:
1546:
1530:
1523:
1515:
1509:
1493:
1489:
1482:
1467:
1463:
1456:
1441:
1437:
1431:
1424:
1419:
1404:
1400:
1394:
1379:
1375:
1369:
1354:
1350:
1344:
1329:
1325:
1319:
1304:
1300:
1294:
1286:
1282:
1278:
1272:
1268:
1264:
1260:
1259:
1251:
1244:
1238:
1222:
1218:
1212:
1204:
1198:
1190:
1184:
1180:
1173:
1165:
1161:
1157:
1151:
1147:
1143:
1139:
1138:
1130:
1122:
1118:
1111:
1103:
1097:
1089:
1085:
1078:
1070:
1064:
1056:
1049:
1033:
1029:
1023:
1015:
1008:
992:
991:Embed Journal
988:
982:
980:
964:
960:
954:
938:
934:
928:
924:
915:
912:
910:
907:
905:
902:
900:
897:
895:
894:
890:
888:
885:
883:
880:
877:
874:
873:
869:
863:
858:
849:
846:
844:
841:
839:
836:
834:
831:
829:
826:
825:
819:
817:
813:
799:
795:
792:
788:
785:
781:
777:
776:
775:
765:
761:
759:
755:
753:
749:
747:
743:
741:
737:
736:
735:
733:
723:
709:
701:
697:
694:
690:
687:
686:
675:
674:
670:
667:
666:
662:
659:
658:
654:
651:
647:
643:
639:
635:
631:
627:
624:
621:
617:
614:
610:
607:
606:
604:
601:
597:
594:
593:
584:
581:
578:
574:
573:field solvers
570:
567:
564:
561:
558:
555:
552:
548:
544:
540:
537:
534:
530:
526:
523:
520:
519:clock domains
516:
512:
508:
504:
501:
498:
495:
491:
488:
487:
477:
470:
467:
464:
463:
458:
455:
452:
449:
445:
441:
438:
435:
432:
431:
429:
416:
412:
409:
406:
403:
400:
396:
392:
389:
386:
382:
379:
378:
377:
373:
357:
354:
346:
343:February 2017
336:
332:
326:
323:This article
321:
312:
311:
303:
301:
296:
292:
288:
287:semiconductor
283:
279:
274:
270:
266:
256:
254:
250:
246:
242:
237:
235:
231:
227:
223:
219:
218:Daisy Systems
215:
211:
207:
201:
191:
189:
185:
181:
177:
173:
168:
166:
162:
158:
154:
150:
145:
143:
139:
135:
131:
127:
124:
120:
115:
113:
109:
94:
92:
88:
87:semiconductor
84:
80:
76:
72:
68:
64:
60:
56:
52:
48:
44:
37:
33:
32:ECAD (Brazil)
19:
3438:Cyberwarfare
3097:Cryptography
2584:
2433:ShapeManager
2288:File formats
2155:Delta Design
2130:CircuitMaker
2120:BoardStation
2091:
2005:MicroStation
1792:
1667:
1645:
1631:
1610:
1589:
1563:. Retrieved
1559:the original
1555:SemiWiki.com
1554:
1545:
1533:. Retrieved
1522:
1508:
1496:. Retrieved
1492:the original
1481:
1469:. Retrieved
1465:
1455:
1443:. Retrieved
1439:
1430:
1418:
1406:. Retrieved
1402:
1393:
1381:. Retrieved
1377:
1368:
1356:. Retrieved
1352:
1343:
1331:. Retrieved
1327:
1318:
1306:. Retrieved
1302:
1293:
1257:
1250:
1243:RTL Linting.
1237:
1225:. Retrieved
1220:
1211:
1178:
1172:
1136:
1129:
1120:
1116:
1110:
1096:cite journal
1087:
1083:
1077:
1054:
1048:
1036:. Retrieved
1031:
1022:
1013:
1007:
995:. Retrieved
990:
966:. Retrieved
962:
953:
941:. Retrieved
937:the original
927:
891:
887:EDA database
808:
805:Acquisitions
773:
730:
671:
663:
655:
650:interference
625:
619:
618:Producing a
608:
550:
494:logic design
460:
375:
349:
340:
324:
284:
280:
276:
238:
203:
169:
151:Systems" by
146:
126:photoplotter
116:
105:
62:
58:
54:
50:
49:
47:
3448:Video games
3428:Digital art
3185:Concurrency
3054:Data mining
2966:Probability
2699:Interpreter
2025:Pro/DESKTOP
1865:Proprietary
1358:December 4,
1123:(2): 22–23.
1090:(1): 19–30.
646:diffraction
600:lithography
157:Lynn Conway
153:Carver Mead
83:design flow
3521:Categories
3506:Glossaries
3378:E-commerce
2971:Statistics
2914:Algorithms
2872:Stochastic
2704:Middleware
2560:Peripheral
2443:IntelliCAD
2092:Electrical
2067:T-FLEX CAD
2062:SpaceClaim
2057:SolidWorks
2052:Solid Edge
1995:KeyCreator
1980:Fusion 360
1873:Mechanical
1793:Electrical
1783:SolveSpace
1731:Mechanical
1531:. EDA Cafe
1188:0849330963
1038:January 1,
997:January 1,
968:January 1,
920:References
798:SpringSoft
603:photomasks
571:, or just
492:: ensures
422:Simulation
259:Modern day
198:See also:
112:700 series
102:Early days
3327:Rendering
3322:Animation
2953:computing
2904:Semantics
2595:Processor
2470:Sketchpad
2428:KernelCAD
2413:Parasolid
2275:Xpedition
2265:Ultiboard
2200:Micro-Cap
2185:FreeStyle
2072:Tinkercad
1773:rattleCAD
1535:March 23,
1471:March 23,
1445:March 23,
1408:March 23,
1383:March 23,
1333:March 23,
1308:March 23,
1285:215840278
1227:April 10,
1197:cite book
1164:215840278
1063:cite book
716:Companies
640:(OPC) or
634:photomask
511:data loss
210:Tektronix
3486:Category
3314:Graphics
3089:Security
2751:Compiler
2650:Networks
2547:Hardware
2270:Upverter
2240:Pulsonix
2205:Multisim
2180:Easytrax
2165:DipTrace
2115:Autotrax
2077:TurboCAD
1985:GstarCAD
1935:Cimatron
1920:BricsCAD
1915:AutoTURN
1890:ArchiCAD
1880:ABViewer
1851:XCircuit
1826:kTechLab
1811:Fritzing
1801:Electric
1763:OpenSCAD
1753:LibreCAD
1748:HeeksCAD
1716:software
1565:April 3,
1498:July 17,
1466:EE Times
943:July 29,
854:See also
740:Synopsys
703:covered.
533:deadlock
132:, whose
73:such as
3496:Outline
2476:UNISURF
2423:Romulus
2355:OpenDWG
2235:Proteus
2195:LTspice
2175:EasyEDA
2150:CR-8000
2145:CR-5000
2140:CR-3000
2135:CR-2000
2125:CADSTAR
2100:Allegro
2082:VariCAD
2015:Onshape
1990:IRONCAD
1965:FastCAD
1960:EasyCAD
1895:AutoCAD
1841:Oregano
1836:ngspice
1806:FreePCB
1743:FreeCAD
1738:BRL-CAD
1032:Caltech
784:Siemens
770:Defunct
727:Current
551:logical
547:netlist
527:, also
507:linting
448:Boolean
399:netlist
329:Please
291:foundry
245:Verilog
97:History
93:(ICs).
2478:(1968)
2472:(1963)
2466:(1963)
2438:Teigha
2365:PSpice
2255:TARGET
2230:Protel
2047:RUCAPS
2000:MEDUSA
1940:Cobalt
1925:CADKEY
1778:Salome
1674:
1664:(2005)
1660:
1652:
1642:, 2006
1638:
1628:, 2022
1624:
1607:, 2020
1603:
1283:
1273:
1185:
1162:
1152:
758:Altium
579:above.
553:level.
411:Layout
366:Design
271:, and
123:Gerber
2899:Logic
2733:tools
2464:DAC-1
2448:SMLib
2260:TopoR
2250:Tango
2220:P-CAD
2210:OrCAD
2170:EAGLE
1975:FORAN
1930:CATIA
1831:Magic
1821:KiCad
1577:Notes
1440:ZDNET
1281:S2CID
1160:S2CID
878:(CAD)
764:Zuken
752:Ansys
300:FPGAs
214:Intel
188:wafer
184:MOSIS
180:Magic
134:GDSII
130:Calma
2731:and
2604:Form
2600:Size
2398:ACIS
2375:STEP
2345:IGES
2330:DWFX
2300:ACIS
2215:PADS
2020:PDMS
1970:FINE
1846:QUCS
1816:gEDA
1768:QCAD
1672:ISBN
1658:ISBN
1650:ISBN
1636:ISBN
1622:ISBN
1601:ISBN
1567:2019
1537:2010
1500:2012
1473:2023
1447:2023
1410:2023
1385:2023
1360:2023
1335:2023
1310:2023
1271:ISBN
1241:BTV
1229:2023
1203:link
1183:ISBN
1150:ISBN
1102:link
1069:link
1040:2023
999:2023
970:2023
945:2015
648:and
234:VHDL
224:and
212:and
172:UNIX
155:and
149:VLSI
77:and
63:ECAD
2457:Old
2418:RGK
2403:C3D
2380:STL
2370:SES
2360:PLY
2350:OBJ
2340:DXF
2335:DWG
2325:DWF
2320:DSN
2315:CKD
2310:C3D
2305:AMF
2295:3MF
2225:PCB
1714:CAD
1615:doi
1594:doi
1263:doi
1142:doi
543:RTL
444:RTL
395:RTL
385:RTL
333:to
108:IBM
55:EDA
3523::
2602:/
2010:NX
1553:.
1464:.
1438:.
1401:.
1376:.
1351:.
1326:.
1301:.
1279:.
1269:.
1219:.
1199:}}
1195:{{
1158:.
1148:.
1119:.
1098:}}
1094:{{
1086:.
1065:}}
1061:{{
1030:.
989:.
978:^
961:.
818:.
782:;
513:,
267:,
255:.
220:,
208:,
2540:.
2520:e
2513:t
2506:v
1706:e
1699:t
1692:v
1617::
1596::
1569:.
1539:.
1516:.
1502:.
1475:.
1449:.
1412:.
1387:.
1362:.
1337:.
1312:.
1287:.
1265::
1231:.
1205:)
1191:.
1166:.
1144::
1121:4
1104:)
1088:2
1071:)
1042:.
1001:.
972:.
947:.
465:.
356:)
350:(
345:)
341:(
327:.
61:(
53:(
45:.
38:.
20:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.