Knowledge

Zen (microarchitecture)

Source 📝

785:. Zen 2 introduced the chiplet based architecture, where desktop, workstation, and server CPUs are all produced as multi-chip modules (MCMs); these Zen 2 products utilise the same core chiplets but are attached to different uncore silicon (different IO dies) in a hub and spoke topology. This approach differs from Zen 1 products, where the same die (Zeppelin) is used in a simple monolithic package for Summit Ridge products (Ryzen 1000 series) or used as interconnected building blocks in an MCM (up to four Zeppelin dies) for first generation Epyc and Threadripper products. For earlier Zen 2 products the IO and uncore functions are performed within this separate IO die, which contains the memory controllers, the fabric to enable core to core communication, and the bulk of uncore functions. The IO die used by Matisse processors is a small chip produced on GF 12 nm, whereas the server IO die utilized for Threadripper and Epyc is far larger. The server IO die is able to serve as a hub to connect up to eight 8-core chiplets, while the IO die for Matisse is able to connect up to two 8-core chiplets. These chiplets are linked by AMD's own second generation Infinity Fabric, allowing a low-latency interconnect between the cores and to IO. The processing cores in the chiplets are organized in CCXs (Core Complexes) of four cores, linked together to form a single eight core CCD (Core Chiplet Die). 873:, was also announced. Zen 4c is designed to have significantly greater density than standard Zen 4 while delivering greater power efficiency. This is achieved by redesigning Zen 4's core and cache to maximise density and compute throughput. It has 50% less L3 cache than Zen 4 and is not able to clock as high. Bergamo (Epyc 9704 series) has up to 128 Zen 4c cores and is socket-compatible with Genoa. It was released in June 2023. Another server product line that uses Zen 4c cores is Siena (Epyc 8004 series), which has up to 64 cores, uses a different smaller socket and is intended for use cases that favour smaller size, cost, power and thermal footprints over high performance. 683: 672: 443: 664: 847:
Zen 3 with 3D V-Cache for server, codenamed Milan-X, was announced in AMD's Accelerated Data Center Premiere Keynote on November 8, 2021. It brings a 50% increase in select datacenter applications over Zen 3's Milan CPUs while maintaining socket compatibility with them. Milan-X was released on March
821:
series CPUs and APUs (codename "Vermeer" (CPU) and "Cézanne" (APU)) and Epyc processors (codename "Milan"). Zen 3's main performance gain over Zen 2 is the introduction of a unified CCX, which means that each core chiplet is now composed of eight cores with access to 32 MB of L3 cache, instead
754:
was first released in April 2018, powering the second generation of Ryzen processors, known as Ryzen 2000 (codenamed "Pinnacle Ridge") for mainstream desktop systems, and Threadripper 2000 (codenamed "Colfax") for high-end desktop setups. Zen+ used GlobalFoundries' 12 nm process, an enhanced
2159: 866:, codenamed Genoa, were officially unveiled at AMD's Accelerated Data Center Premiere Keynote on November 8, 2021, and released a year later in November 2022. They have up to 96 Zen 4 cores and support both PCIe 5.0 and DDR5. 954:. Once effected, it is possible to avoid detection by antivirus software and even compromise a system after the operating system has been re-installed. AMD followed up with patches to be released on August 20, 2024. 839:
was officially previewed on May 31, 2021. It differs from Zen 3 in that it includes 3D-stacked L3 cache on top of the normal L3 cache in the CCD, providing a total of 96 MB. The first product that uses it, the
2189: 2174: 883:
mainstream desktop processors (codenamed "Raphael"), high-end mobile processors (codenamed "Dragon Range") and thin-and-light mobile processors (codenamed "Phoenix"). It also powers the
800:. The Zen 2 core microarchitecture is also used in the Mendocino APU, a 6 nm system on a chip aimed at mainstream mobile and other energy efficient low power computing products. 1929: 2037: 2160:"AMD's 'Sinkclose' vulnerability affects hundreds of millions of processors, enables data theft — AMD begins patching issue in critical chip lines, more to follow" 1475: 781:
server CPUs (codename "Rome") were released on August 7, 2019. Zen 2 Matisse products were the first consumer CPUs to use the 7 nm process node, from
2088: 2063: 2011: 1525: 1904: 1782: 1400: 1228: 2857: 1688: 1577: 1377: 2133: 1325: 2107: 1959: 1449: 1254: 1122: 1202: 1757: 1526:"2nd Gen AMD EPYC™ Processors Set New Standard for the Modern Datacenter with Record-Breaking Performance and Significant TCO Savings" 844:, was released on April 20, 2022. The added cache brings an approximately 15% performance increase in gaming applications on average. 1637: 2441: 2221: 1423: 2792: 2328: 1878: 1591: 1606: 1985: 1703: 841: 2190:"Ryzen 3000 fix for 'Sinkclose' vulnerability arrives tomorrow — AMD reverses course and will patch Ryzen 3000 after all" 1284: 879:
In addition to the Epyc 9004, 9704 and 8004 server processors (Genoa, Bergamo and Siena respectively), Zen 4 also powers
2175:"Millions of AMD CPUs found vulnerable to 18-year-old 'Sinkclose' deep-system flaw but it's pretty difficult to exploit" 2348: 2333: 1663: 714: 924:
mainstream desktop processors (codenamed "Granite Ridge"), high-end mobile processors (codenamed "Strix Point"), and
1350: 1299: 2279: 2274: 2269: 2264: 733:' 14 nm manufacturing process. Modified Zen-based processors for the Chinese market were also built under the 123: 2862: 2852: 2424: 726: 713:
series of CPUs (codenamed Summit Ridge) in February 2017. The first Zen-based preview system was demonstrated at
2419: 2316: 2306: 1732: 2429: 2414: 2311: 2286: 2259: 1783:"AMD Unveils New Ryzen Mobile Processors Uniting "Zen 3+" core with AMD RDNA 2 Graphics in Powerhouse Design" 734: 2301: 2296: 2214: 273: 43:
CPUs. It is used in Ryzen (desktop and mobile), Ryzen Threadripper (workstation and high end desktop), and
16:
This article is about the CPU architecture family. For the first generation of the microarchitecture, see
2804: 2521: 2321: 1176: 934:
is a compact variant of the Zen 5 core, primarily targeted at hyperscale cloud compute server customers.
435: 2038:"'Phoenix' and 'Dragon Range' Arrive! AMD Outlines Ryzen 7000 Mobile CPUs, Some With Onboard 'Ryzen AI'" 2504: 2496: 1930:"AMD Launches 4th Gen EPYC "Genoa" Zen 4 Server Processors: 100% Performance Uplift for 50% More Cores" 793: 1369: 2291: 2254: 2249: 2089:"AMD confirms Zen4 & Ryzen 7000 series lineup: Raphael in 2022, Dragon Range and Phoenix in 2023" 1830: 951: 2509: 2207: 817:
was released on November 5, 2020, using a more matured 7 nm manufacturing process, powering
2244: 2230: 947: 700: 65: 17: 718: 2809: 2338: 1500: 833:
architecture, bringing RDNA 2 graphics integrated in a APU to the PC for the first time.
8: 729:(codenamed "Raven Ridge") arrived in November 2017. This first iteration of Zen utilized 1096: 1071: 1046: 1021: 996: 946:" was announced affecting all Zen-based processors to that date. Sinkclose affects the 721:
2016. The first Zen-based CPUs reached the market in early March 2017, and Zen-derived
2689: 1960:"AMD Intros EPYC 97x4 "Bergamo" CPUs: 128 Zen 4c CPU Cores For Servers, Shipping Now" 1682: 1571: 1229:"AMD Unveils Ryzen Mobile 7040U Series with Zen 4c: Smaller Cores, Bigger Efficiency" 971: 60: 32: 2708: 2623: 2600: 2554: 2361: 2064:"Ryzen 8000G review: An integrated GPU that can beat a graphics card, for a price" 1203:"AMD Announces Genoa-X: 4th Gen EPYC with Up to 96 Zen 4 Cores and 1GB L3 V-Cache" 1905:"AMD Teases More Data Center Processors at 2021 Accelerated Data Center Premiere" 730: 1553:"AMD Zen 2 specs, price and release date: all about AMD's newest processor tech" 1401:"AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April" 1855: 1808: 2846: 1163: 797: 133: 2134:"AMD Zen Architecture Roadmap: Zen 5 in 2024 With All-New Microarchitecture" 1704:"AMD Ryzen 7020 'Mendocino' CPUs want low-cost laptops to last all day long" 1476:"AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Old Ryzen Owners Look Away Now" 1300:"AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017" 717:, and first substantially detailed at an event hosted a block away from the 2722: 2573: 1552: 913: 909: 725:
server processors (codenamed "Naples") launched in June 2017 and Zen-based
687: 157: 149: 141: 1733:"AMD Ryzen 5000 – Zen 3 CPU release date, specs, pricing, and performance" 1424:"The AMD 2nd Gen Ryzen Deep Dive: The 2700X, 2700, 2600X, and 2600 Tested" 1326:"AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis" 1123:"AMD Zen 4c Not an E-core, 35% Smaller than Zen 4, but with Identical IPC" 2799: 2694: 1255:"AMD Zen 3 Ryzen Deep Dive Review: 5950X, 5900X, 5800X and 5600X Tested" 2787: 2782: 2744: 2739: 2662: 1879:"AMD EPYC 7003 'Milan-X' launches March 21st, specs and pricing leaked" 1370:"AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017" 921: 884: 880: 826: 818: 789: 770: 710: 2108:"Report: AMD's Zen 5 Architecture Is Starting Volume Production in Q3" 2012:"AMD Zen 4 Ryzen 7000 Specs, Release Date, Benchmarks, Price Listings" 876:
Both Zen 4 and Zen 4 Cloud are manufactured on TSMC's 5 nm node.
822:
of two sets of four cores with access to 16 MB of L3 cache each.
2749: 2727: 2717: 2677: 2672: 943: 164: 127: 1450:"AMD Will Use 'New' GlobalFoundries 12nm Node for Future CPUs, GPUs" 2657: 682: 348: 292: 187: 39:, first launched in February 2017 with the first generation of its 904:
was shown on AMD's Zen roadmap in May 2022. It is believed to use
2766: 2761: 2734: 2529: 2461: 2406: 2199: 1638:"AMD Zen 2 Microarchitecture Analysis: Ryzen 3000 and EPYC Rome" 788:
Zen 2 also powers a line of mobile and desktop APUs marketed as
2756: 2704: 2667: 2652: 2647: 2642: 2563: 2550: 2401: 2396: 2380: 2375: 2370: 869:
Furthermore, Zen 4 Cloud (a variant of Zen 4), abbreviated to
2684: 2637: 2632: 2619: 2609: 2568: 2477: 2471: 2466: 2456: 2451: 2446: 2357: 896: 857: 809: 764: 746: 98: 85: 80: 75: 70: 40: 1758:"AMD's Zen 3 Drops November 5 With Major IPC Enhancements -" 1607:"AMD Ryzen 3000 'Matisse' I/O Controller Die 12nm, Not 14nm" 2578: 925: 905: 782: 778: 722: 675: 671: 44: 1831:"Ryzen 7 5800X3D vs. Ryzen 7 5800X: Zen 3 Gaming Shootout" 1501:"AMD Ryzen 3000 CPUs launching July 7 with up to 12 cores" 950:(SMM). It can only be exploited by first compromising the 663: 1662:
September 2019, Scharon Harding 14 (September 14, 2019).
36: 1631: 1629: 1627: 1986:"AMD Unveils Final 4th Gen Epyc CPU Code-Named Siena" 773:
series CPUs were released on July 7, 2019, while the
1624: 1177:"AMD Ryzen 7 5800H Mobile processor - 100-000000295" 1285:"AMD's Zen 4 Part 1: Frontend and Execution Engine" 2166: 1789:(Press release). Santa Clara, CA. January 4, 2022 1551:January 2020, Bill Thomas 15 (January 15, 2020). 1279: 1277: 1275: 2844: 1227:Bonshor, Gavin; Smith, Ryan (November 2, 2023). 2181: 1592:"Naples Zeppelin vs. Rome Chiplet (subsection)" 440: 2549: 2151: 1661: 1272: 2215: 1351:"HP ENVY x360 Convertible Laptop - 15z touch" 1072:"Zen 5 - Microarchitectures - AMD - WikiChip" 2157: 1857:AMD Accelerated Data Center Premiere Keynote 1550: 1226: 928:9005 server processors (codenamed "Turin"). 2187: 1848: 1687:: CS1 maint: numeric names: authors list ( 1576:: CS1 maint: numeric names: authors list ( 740: 2222: 2208: 2172: 2081: 2061: 942:On August 9, 2024 a vulnerability termed " 937: 829:series for the laptop, using an improved 1664:"What Is an AMD CCX? A Basic Definition" 681: 670: 662: 2062:Cunningham, Andrew (January 29, 2024). 1701: 1635: 1604: 1323: 1297: 1291: 1252: 1200: 1120: 825:On April 1, 2022, AMD released the new 2858:Computer-related introductions in 2017 2845: 2009: 1730: 1447: 1398: 1298:Anthony, Sebastian (August 18, 2016). 2203: 2131: 2105: 2035: 1983: 1957: 1953: 1951: 1902: 1775: 1726: 1724: 1367: 1140: 592: 552: 508: 347: 291: 1702:Hachman, Mark (September 20, 2022). 1518: 851: 758: 2832:indicates an upcoming architecture. 1473: 1448:Hruska, Joel (September 22, 2017). 1421: 1380:from the original on April 21, 2019 890: 803: 694: 13: 2229: 2010:Alcorn, Paul (November 23, 2022). 1984:Norem, Josh (September 18, 2023). 1948: 1876: 1721: 1467: 1047:"Zen 4 - Microarchitectures - AMD" 1022:"Zen 3 - Microarchitectures - AMD" 997:"Zen 2 - Microarchitectures - AMD" 755:version of their 14 nm node. 667:First-gen Zen with and without GPU 31:is a family of computer processor 14: 2874: 2106:Norem, Josh (February 21, 2024). 1903:Hertz, Jake (November 15, 2021). 1731:Ridley, Jacob (October 8, 2020). 1399:Bright, Peter (January 8, 2018). 1253:Cutress, Ian (November 5, 2020). 1097:"Zen+ - Microarchitectures - AMD" 972:"Zen - Microarchitectures - AMD" 441: 364: 2188:Aaron Klotz (August 19, 2024). 2158:Anton Shilov (August 9, 2024). 2125: 2099: 2055: 2036:Burek, John (January 5, 2023). 2029: 2003: 1977: 1922: 1896: 1870: 1823: 1801: 1750: 1695: 1655: 1598: 1584: 1544: 1493: 1441: 1415: 1392: 1361: 1343: 1317: 1246: 1220: 1201:Bonshor, Gavin (June 9, 2022). 1194: 792:, as well as fourth generation 23:Microarchitecture family by AMD 2173:Andy Edser (August 12, 2024). 1636:Cutress, Ian (June 10, 2019). 1324:Cutress, Ian (June 20, 2017). 1169: 1114: 1089: 1064: 1039: 1014: 989: 964: 1: 1958:Smith, Ryan (June 13, 2023). 1368:Lilly, Paul (July 23, 2016). 957: 50: 2132:Smith, Ryan (June 9, 2022). 7: 442: 436:Simultaneous multithreading 92:Microarchitecture variants 10: 2879: 894: 887:G-series of desktop APUs. 855: 807: 762: 744: 698: 658: 163: 15: 2824: 2775: 2703: 2618: 2598: 2591: 2542: 2520: 2489: 2389: 2356: 2347: 2237: 1605:btarunr (June 12, 2019). 1121:btarunr (June 14, 2023). 920:processes. It will power 735:AMD–Chinese joint venture 652: 638: 635: 631: 618: 611: 598: 588: 585: 582: 577: 570: 567: 564: 558: 548: 535: 528: 504: 499: 492: 489: 485: 474: 471: 468: 464: 461: 458: 452: 449: 434: 414: 411: 408: 404: 401: 398: 392: 384: 355: 343: 340: 337: 334: 327: 324: 321: 310: 302: 299: 287: 280: 277: 272: 268: 261: 253: 245: 242: 236: 232: 225: 211: 208: 200: 197: 191: 186: 177: 174: 171: 168: 148: 140: 122: 91: 84: 79: 74: 69: 64: 59: 1166:based on powers of 1024. 741:First generation refresh 952:operating system kernel 938:Sinkclose vulnerability 2863:X86 microarchitectures 2853:AMD microarchitectures 948:System Management Mode 862:Epyc server CPUs with 709:was launched with the 701:Zen (first generation) 691: 679: 668: 18:Zen (first generation) 837:Zen 3 with 3D V-Cache 719:Intel Developer Forum 705:The first generation 685: 674: 666: 1810:AMD at Computex 2021 1936:. November 11, 2022 124:Fabrication process 56: 2349:Microarchitectures 2334:Microarchitectures 1909:All About Circuits 692: 680: 669: 303:1024 KB/core 55: 33:microarchitectures 2840: 2839: 2820: 2819: 2587: 2586: 2538: 2537: 2192:. Tom's Hardware. 2162:. Tom's Hardware. 1474:Leather, Antony. 1355:HP Official Store 852:Fourth generation 796:consoles and the 759:Second generation 656: 655: 450:OoO window (ROB) 300:512 KB/core 61:Microarchitecture 2870: 2596: 2595: 2547: 2546: 2543:Current products 2497:Bobcat (aka 14h) 2490:x86-64 low-power 2354: 2353: 2224: 2217: 2210: 2201: 2200: 2194: 2193: 2185: 2179: 2178: 2170: 2164: 2163: 2155: 2149: 2148: 2146: 2144: 2129: 2123: 2122: 2120: 2118: 2103: 2097: 2096: 2085: 2079: 2078: 2076: 2074: 2059: 2053: 2052: 2050: 2048: 2033: 2027: 2026: 2024: 2022: 2007: 2001: 2000: 1998: 1996: 1981: 1975: 1974: 1972: 1970: 1955: 1946: 1945: 1943: 1941: 1926: 1920: 1919: 1917: 1915: 1900: 1894: 1893: 1891: 1889: 1874: 1868: 1867: 1866: 1864: 1852: 1846: 1845: 1843: 1841: 1827: 1821: 1820: 1819: 1817: 1805: 1799: 1798: 1796: 1794: 1779: 1773: 1772: 1770: 1768: 1754: 1748: 1747: 1745: 1743: 1728: 1719: 1718: 1716: 1714: 1699: 1693: 1692: 1686: 1678: 1676: 1674: 1659: 1653: 1652: 1650: 1648: 1633: 1622: 1621: 1619: 1617: 1602: 1596: 1595: 1588: 1582: 1581: 1575: 1567: 1565: 1563: 1548: 1542: 1541: 1539: 1537: 1532:. August 7, 2019 1522: 1516: 1515: 1513: 1511: 1497: 1491: 1490: 1488: 1486: 1471: 1465: 1464: 1462: 1460: 1445: 1439: 1438: 1436: 1434: 1419: 1413: 1412: 1410: 1408: 1396: 1390: 1389: 1387: 1385: 1365: 1359: 1358: 1347: 1341: 1340: 1338: 1336: 1321: 1315: 1314: 1312: 1310: 1295: 1289: 1288: 1281: 1270: 1269: 1267: 1265: 1250: 1244: 1243: 1241: 1239: 1224: 1218: 1217: 1215: 1213: 1198: 1192: 1191: 1189: 1187: 1173: 1167: 1144: 1138: 1137: 1135: 1133: 1118: 1112: 1111: 1109: 1107: 1093: 1087: 1086: 1084: 1082: 1068: 1062: 1061: 1059: 1057: 1043: 1037: 1036: 1034: 1032: 1018: 1012: 1011: 1009: 1007: 993: 987: 986: 984: 982: 968: 917: 891:Fifth generation 804:Third generation 695:First generation 445: 444: 57: 54: 2878: 2877: 2873: 2872: 2871: 2869: 2868: 2867: 2843: 2842: 2841: 2836: 2835: 2816: 2771: 2699: 2614: 2583: 2534: 2516: 2485: 2385: 2343: 2233: 2228: 2198: 2197: 2186: 2182: 2171: 2167: 2156: 2152: 2142: 2140: 2130: 2126: 2116: 2114: 2104: 2100: 2087: 2086: 2082: 2072: 2070: 2060: 2056: 2046: 2044: 2042:PCMag Australia 2034: 2030: 2020: 2018: 2008: 2004: 1994: 1992: 1982: 1978: 1968: 1966: 1956: 1949: 1939: 1937: 1928: 1927: 1923: 1913: 1911: 1901: 1897: 1887: 1885: 1875: 1871: 1862: 1860: 1854: 1853: 1849: 1839: 1837: 1829: 1828: 1824: 1815: 1813: 1807: 1806: 1802: 1792: 1790: 1781: 1780: 1776: 1766: 1764: 1756: 1755: 1751: 1741: 1739: 1729: 1722: 1712: 1710: 1700: 1696: 1680: 1679: 1672: 1670: 1660: 1656: 1646: 1644: 1634: 1625: 1615: 1613: 1603: 1599: 1590: 1589: 1585: 1569: 1568: 1561: 1559: 1549: 1545: 1535: 1533: 1524: 1523: 1519: 1509: 1507: 1499: 1498: 1494: 1484: 1482: 1472: 1468: 1458: 1456: 1446: 1442: 1432: 1430: 1420: 1416: 1406: 1404: 1397: 1393: 1383: 1381: 1366: 1362: 1349: 1348: 1344: 1334: 1332: 1322: 1318: 1308: 1306: 1296: 1292: 1283: 1282: 1273: 1263: 1261: 1251: 1247: 1237: 1235: 1225: 1221: 1211: 1209: 1199: 1195: 1185: 1183: 1175: 1174: 1170: 1164:binary prefixes 1145: 1141: 1131: 1129: 1119: 1115: 1105: 1103: 1095: 1094: 1090: 1080: 1078: 1076:en.wikichip.org 1070: 1069: 1065: 1055: 1053: 1045: 1044: 1040: 1030: 1028: 1020: 1019: 1015: 1005: 1003: 995: 994: 990: 980: 978: 970: 969: 965: 960: 940: 915: 899: 893: 860: 854: 842:Ryzen 7 5800X3D 812: 806: 767: 761: 749: 743: 731:GlobalFoundries 703: 697: 661: 575:Floating-point 409:Max. CPU cores 353:Size (per CCX) 53: 24: 21: 12: 11: 5: 2876: 2866: 2865: 2860: 2855: 2838: 2837: 2834: 2833: 2826: 2825: 2822: 2821: 2818: 2817: 2815: 2814: 2813: 2812: 2802: 2797: 2796: 2795: 2785: 2779: 2777: 2773: 2772: 2770: 2769: 2764: 2759: 2754: 2753: 2752: 2742: 2737: 2732: 2731: 2730: 2725: 2714: 2712: 2701: 2700: 2698: 2697: 2692: 2687: 2682: 2681: 2680: 2675: 2665: 2660: 2655: 2650: 2645: 2640: 2635: 2629: 2627: 2616: 2615: 2613: 2612: 2606: 2604: 2593: 2589: 2588: 2585: 2584: 2582: 2581: 2576: 2571: 2566: 2560: 2558: 2544: 2540: 2539: 2536: 2535: 2533: 2532: 2526: 2524: 2518: 2517: 2515: 2514: 2513: 2512: 2507: 2499: 2493: 2491: 2487: 2486: 2484: 2483: 2482: 2481: 2474: 2469: 2464: 2459: 2454: 2449: 2444: 2434: 2433: 2432: 2427: 2422: 2417: 2409: 2404: 2399: 2393: 2391: 2390:x86-64 desktop 2387: 2386: 2384: 2383: 2378: 2373: 2367: 2365: 2351: 2345: 2344: 2342: 2341: 2336: 2331: 2326: 2325: 2324: 2319: 2314: 2309: 2304: 2299: 2294: 2289: 2284: 2283: 2282: 2277: 2272: 2267: 2257: 2252: 2241: 2239: 2235: 2234: 2231:AMD processors 2227: 2226: 2219: 2212: 2204: 2196: 2195: 2180: 2165: 2150: 2124: 2098: 2095:. May 3, 2022. 2093:VideoCardz.com 2080: 2054: 2028: 2016:Tom's Hardware 2002: 1976: 1947: 1921: 1895: 1883:VideoCardz.com 1869: 1847: 1822: 1800: 1774: 1749: 1720: 1694: 1668:Tom's Hardware 1654: 1623: 1597: 1583: 1543: 1517: 1492: 1466: 1440: 1422:Cutress, Ian. 1414: 1403:. Ars Technica 1391: 1360: 1342: 1316: 1290: 1271: 1245: 1219: 1193: 1168: 1139: 1113: 1088: 1063: 1038: 1013: 988: 962: 961: 959: 956: 939: 936: 933: 903: 895:Main article: 892: 889: 872: 865: 856:Main article: 853: 850: 838: 832: 816: 808:Main article: 805: 802: 776: 763:Main article: 760: 757: 753: 745:Main article: 742: 739: 708: 699:Main article: 696: 693: 660: 657: 654: 653: 651: 649: 647: 645: 643: 640: 637: 633: 632: 630: 628: 626: 624: 622: 620: 617: 613: 612: 610: 608: 606: 604: 602: 600: 597: 594: 590: 589: 587: 584: 581: 579: 576: 572: 571: 569: 566: 563: 560: 557: 554: 553:Register file 550: 549: 547: 545: 543: 541: 539: 537: 534: 530: 529: 527: 525: 523: 521: 519: 517: 515: 513: 510: 506: 505: 503: 501: 498: 496: 494: 491: 490:Decode (ways) 487: 486: 484: 482: 480: 478: 476: 473: 470: 466: 465: 463: 460: 457: 454: 451: 447: 446: 439: 432: 431: 428: 425: 422: 419: 416: 413: 410: 406: 405: 403: 400: 397: 394: 391: 387: 386: 383: 379: 378: 375: 372: 369: 366: 363: 360: 357: 354: 351: 345: 344: 342: 339: 336: 333: 329: 328: 326: 323: 320: 317: 313: 312: 309: 305: 304: 301: 298: 295: 289: 288: 286: 284: 282: 279: 276: 270: 269: 267: 265: 263: 260: 256: 255: 252: 248: 247: 244: 241: 238: 234: 233: 231: 229: 227: 224: 222: 220: 218: 214: 213: 210: 207: 203: 202: 199: 196: 193: 190: 184: 183: 181: 179: 176: 173: 170: 167: 161: 160: 155: 152: 147: 144: 139: 136: 131: 120: 119: 116: 113: 110: 107: 104: 101: 96: 93: 89: 88: 83: 78: 73: 68: 63: 52: 49: 22: 9: 6: 4: 3: 2: 2875: 2864: 2861: 2859: 2856: 2854: 2851: 2850: 2848: 2831: 2828: 2827: 2823: 2811: 2808: 2807: 2806: 2803: 2801: 2798: 2794: 2791: 2790: 2789: 2786: 2784: 2781: 2780: 2778: 2774: 2768: 2767:A-series APUs 2765: 2763: 2760: 2758: 2755: 2751: 2748: 2747: 2746: 2743: 2741: 2738: 2736: 2733: 2729: 2726: 2724: 2721: 2720: 2719: 2716: 2715: 2713: 2710: 2706: 2702: 2696: 2693: 2691: 2688: 2686: 2683: 2679: 2676: 2674: 2671: 2670: 2669: 2666: 2664: 2661: 2659: 2656: 2654: 2651: 2649: 2646: 2644: 2641: 2639: 2636: 2634: 2631: 2630: 2628: 2625: 2621: 2617: 2611: 2608: 2607: 2605: 2602: 2597: 2594: 2590: 2580: 2577: 2575: 2572: 2570: 2567: 2565: 2562: 2561: 2559: 2556: 2552: 2548: 2545: 2541: 2531: 2530:K12 (aka 12h) 2528: 2527: 2525: 2523: 2519: 2511: 2508: 2506: 2503: 2502: 2500: 2498: 2495: 2494: 2492: 2488: 2480: 2479: 2475: 2473: 2470: 2468: 2465: 2463: 2460: 2458: 2455: 2453: 2450: 2448: 2445: 2443: 2440: 2439: 2438: 2435: 2431: 2428: 2426: 2423: 2421: 2418: 2416: 2413: 2412: 2410: 2408: 2407:K10 (aka 10h) 2405: 2403: 2400: 2398: 2395: 2394: 2392: 2388: 2382: 2379: 2377: 2374: 2372: 2369: 2368: 2366: 2363: 2359: 2355: 2352: 2350: 2346: 2340: 2337: 2335: 2332: 2330: 2327: 2323: 2320: 2318: 2315: 2313: 2310: 2308: 2305: 2303: 2300: 2298: 2295: 2293: 2290: 2288: 2285: 2281: 2278: 2276: 2273: 2271: 2268: 2266: 2263: 2262: 2261: 2258: 2256: 2253: 2251: 2248: 2247: 2246: 2243: 2242: 2240: 2236: 2232: 2225: 2220: 2218: 2213: 2211: 2206: 2205: 2202: 2191: 2184: 2176: 2169: 2161: 2154: 2139: 2135: 2128: 2113: 2109: 2102: 2094: 2090: 2084: 2069: 2065: 2058: 2043: 2039: 2032: 2017: 2013: 2006: 1991: 1987: 1980: 1965: 1961: 1954: 1952: 1935: 1931: 1925: 1910: 1906: 1899: 1884: 1880: 1873: 1859: 1858: 1851: 1836: 1832: 1826: 1812: 1811: 1804: 1788: 1784: 1778: 1763: 1759: 1753: 1738: 1734: 1727: 1725: 1709: 1705: 1698: 1690: 1684: 1669: 1665: 1658: 1643: 1639: 1632: 1630: 1628: 1612: 1608: 1601: 1593: 1587: 1579: 1573: 1558: 1554: 1547: 1531: 1527: 1521: 1506: 1502: 1496: 1485:September 19, 1481: 1477: 1470: 1455: 1451: 1444: 1429: 1425: 1418: 1402: 1395: 1379: 1375: 1371: 1364: 1356: 1352: 1346: 1331: 1327: 1320: 1305: 1301: 1294: 1286: 1280: 1278: 1276: 1260: 1256: 1249: 1234: 1230: 1223: 1208: 1204: 1197: 1186:September 17, 1182: 1178: 1172: 1165: 1162:refer to the 1161: 1157: 1153: 1149: 1143: 1128: 1124: 1117: 1102: 1098: 1092: 1077: 1073: 1067: 1052: 1048: 1042: 1031:September 16, 1027: 1023: 1017: 1006:September 16, 1002: 998: 992: 981:September 16, 977: 973: 967: 963: 955: 953: 949: 945: 935: 931: 929: 927: 923: 919: 911: 907: 901: 898: 888: 886: 882: 877: 874: 870: 867: 863: 859: 849: 845: 843: 836: 834: 830: 828: 823: 820: 814: 811: 801: 799: 798:PlayStation 5 795: 791: 786: 784: 780: 774: 772: 766: 756: 751: 748: 738: 736: 732: 728: 724: 720: 716: 712: 706: 702: 689: 684: 677: 673: 665: 650: 648: 646: 644: 641: 634: 629: 627: 625: 623: 621: 615: 614: 609: 607: 605: 603: 601: 595: 591: 580: 574: 573: 561: 555: 551: 546: 544: 542: 540: 538: 532: 531: 526: 524: 522: 520: 518: 516: 514: 511: 507: 502: 497: 495: 488: 483: 481: 479: 477: 467: 455: 448: 437: 433: 429: 426: 423: 420: 417: 407: 395: 389: 388: 381: 380: 376: 373: 370: 367: 361: 358: 352: 350: 346: 331: 330: 318: 315: 314: 307: 306: 296: 294: 290: 285: 283: 275: 271: 266: 264: 258: 257: 250: 249: 239: 235: 230: 228: 223: 221: 219: 216: 215: 205: 204: 194: 189: 185: 182: 180: 166: 162: 159: 156: 153: 151: 145: 143: 137: 135: 132: 129: 125: 121: 117: 114: 111: 108: 105: 102: 100: 97: 94: 90: 87: 82: 77: 72: 67: 62: 58: 48: 46: 42: 38: 34: 30: 26: 19: 2829: 2592:Discontinued 2574:Threadripper 2476: 2436: 2183: 2168: 2153: 2143:December 11, 2141:. Retrieved 2137: 2127: 2115:. Retrieved 2111: 2101: 2092: 2083: 2071:. Retrieved 2068:Ars Technica 2067: 2057: 2045:. Retrieved 2041: 2031: 2019:. Retrieved 2015: 2005: 1993:. Retrieved 1989: 1979: 1967:. Retrieved 1963: 1938:. Retrieved 1933: 1924: 1912:. Retrieved 1908: 1898: 1886:. Retrieved 1882: 1872: 1863:November 15, 1861:, retrieved 1856: 1850: 1838:. Retrieved 1834: 1825: 1816:November 15, 1814:, retrieved 1809: 1803: 1791:. Retrieved 1786: 1777: 1765:. Retrieved 1761: 1752: 1740:. Retrieved 1736: 1711:. Retrieved 1707: 1697: 1671:. Retrieved 1667: 1657: 1645:. Retrieved 1641: 1614:. Retrieved 1610: 1600: 1586: 1560:. Retrieved 1556: 1546: 1534:. Retrieved 1529: 1520: 1508:. Retrieved 1504: 1495: 1483:. Retrieved 1479: 1469: 1457:. Retrieved 1453: 1443: 1431:. Retrieved 1427: 1417: 1405:. Retrieved 1394: 1382:. Retrieved 1373: 1363: 1354: 1345: 1333:. Retrieved 1329: 1319: 1307:. Retrieved 1304:Ars Technica 1303: 1293: 1264:November 15, 1262:. Retrieved 1258: 1248: 1236:. Retrieved 1232: 1222: 1210:. Retrieved 1206: 1196: 1184:. Retrieved 1180: 1171: 1159: 1155: 1151: 1147: 1142: 1130:. Retrieved 1126: 1116: 1104:. Retrieved 1100: 1091: 1079:. Retrieved 1075: 1066: 1054:. Retrieved 1050: 1041: 1029:. Retrieved 1025: 1016: 1004:. Retrieved 1000: 991: 979:. Retrieved 975: 966: 941: 930: 900: 878: 875: 868: 861: 846: 835: 824: 813: 787: 768: 750: 704: 688:Threadripper 596:Instruction 237:Instruction 118:Zen 5c 112:Zen 4c 106:Zen 3+ 28: 27: 25: 2599:Early x86 ( 2425:Steamroller 2177:. PC Gamer. 2112:ExtremeTech 1990:ExtremeTech 1934:TechPowerUp 1767:October 21, 1762:ExtremeTech 1742:October 20, 1673:October 21, 1647:October 21, 1616:October 21, 1611:TechPowerUp 1562:October 21, 1459:October 21, 1454:ExtremeTech 1433:October 21, 1384:October 21, 1374:HotHardware 1238:January 14, 1212:October 10, 1132:January 14, 1127:TechPowerUp 1106:October 10, 1056:October 10, 616:Allocation 377:16 MB 374:32 MB 371:16 MB 368:32 MB 362:32 MB 359:16 MB 341:3072-entry 338:2048-entry 335:1536-entry 281:1024-entry 246:32 KB 243:64 KB 201:48 KB 198:32 KB 138:12 nm 115:Zen 5 109:Zen 4 103:Zen 3 2847:Categories 2420:Piledriver 2245:Processors 1407:January 9, 1309:August 18, 958:References 922:Ryzen 9000 885:Ryzen 8000 881:Ryzen 7000 848:21, 2022. 827:Ryzen 6000 819:Ryzen 5000 790:Ryzen 4000 771:Ryzen 3000 711:Ryzen 1000 509:Scheduler 356:8 MB 278:512-entry 154:4 nm 146:6 nm 134:14 nm 51:Comparison 47:(server). 2718:Athlon 64 2430:Excavator 2415:Bulldozer 2381:Athlon/K7 2138:AnandTech 2117:April 22, 2073:April 21, 2047:April 21, 2021:April 21, 1995:April 22, 1969:April 21, 1964:AnandTech 1713:April 21, 1642:AnandTech 1557:TechRadar 1536:August 8, 1428:Anandtech 1335:August 8, 1330:AnandTech 1259:AnandTech 1233:AnandTech 1207:AnandTech 1181:CPU-World 944:Sinkclose 533:Dispatch 469:Pipeline 365:APU-only 158:3 nm 150:5 nm 142:7 nm 2695:AMD Élan 2339:Chipsets 1888:July 11, 1877:WhyCry. 1840:July 11, 1835:TechSpot 1737:PC Gamer 1683:cite web 1572:cite web 1505:PCGamesN 1378:Archived 1101:WikiChip 1051:WikiChip 1026:WikiChip 1001:WikiChip 976:WikiChip 690:1000 MCM 678:7001 MCM 556:Integer 512:Entries 390:Latency 316:Latency 259:Latency 217:Latency 2830:Italics 2805:Alchemy 2800:Am29000 2762:Opteron 2735:Sempron 2442:1st gen 2317:Opteron 2307:Sempron 1940:June 6, 1914:June 6, 1793:May 27, 1708:PCWorld 1510:May 28, 1081:July 8, 777:-based 715:E3 2016 659:History 2810:MIPS32 2788:Am2900 2783:Am9080 2745:Phenom 2740:Turion 2709:64-bit 2705:x86-64 2668:Athlon 2663:K6-III 2643:Am5x86 2624:32-bit 2601:16-bit 2564:Athlon 2555:64-bit 2551:x86-64 2505:Jaguar 2462:Zen 3+ 2362:32-bit 2312:Turion 2287:Phenom 2260:Athlon 1480:Forbes 1146:Here, 932:Zen 5c 916:  871:Zen 4c 831:Zen 3+ 686:Ryzen 593:Queue 472:stage 438:(SMT) 178:6.75K 2776:Other 2690:Geode 2685:Duron 2638:Am486 2633:Am386 2620:IA-32 2610:Am286 2569:Ryzen 2522:ARM64 2478:Zen 6 2472:Zen 5 2467:Zen 4 2457:Zen 3 2452:Zen 2 2358:IA-32 2302:Duron 2297:Ryzen 2238:Lists 1158:, or 902:Zen 5 897:Zen 5 864:Zen 4 858:Zen 4 815:Zen 3 810:Zen 3 775:Zen 2 765:Zen 2 636:AGUs 382:Ways 308:Ways 297:Size 251:Ways 240:Size 206:Ways 195:Size 192:Data 165:Cache 86:Zen 5 81:Zen 4 76:Zen 3 71:Zen 2 41:Ryzen 35:from 2793:list 2658:K6-2 2579:Epyc 2510:Puma 2501:16h 2447:Zen+ 2411:15h 2329:APUs 2322:Epyc 2145:2022 2119:2024 2075:2024 2049:2024 2023:2024 1997:2024 1971:2024 1942:2023 1916:2023 1890:2022 1865:2021 1842:2022 1818:2021 1795:2022 1769:2020 1744:2020 1715:2024 1689:link 1675:2020 1649:2020 1618:2020 1578:link 1564:2020 1538:2019 1512:2019 1487:2019 1461:2020 1435:2020 1409:2018 1386:2020 1337:2017 1311:2016 1266:2021 1240:2024 1214:2022 1188:2021 1134:2024 1108:2022 1083:2024 1058:2022 1033:2021 1008:2021 983:2021 926:Epyc 912:and 910:4 nm 906:TSMC 794:Xbox 783:TSMC 779:Epyc 769:The 752:Zen+ 747:Zen+ 727:APUs 723:Epyc 676:Epyc 586:192 583:160 568:224 462:320 459:256 456:224 453:192 430:192 427:128 424:128 332:TLB 262:4–8 226:4–8 169:μop 99:Zen+ 95:Zen 45:Epyc 2437:Zen 1787:AMD 1530:AMD 908:'s 707:Zen 619:44 599:72 578:96 565:96 562:92 559:84 475:19 421:96 415:64 412:32 402:50 399:46 396:40 393:35 385:16 325:14 322:12 319:17 274:TLB 175:4K 172:2K 66:Zen 37:AMD 29:Zen 2849:: 2757:FX 2750:II 2728:II 2723:X2 2678:MP 2673:XP 2653:K6 2648:K5 2402:K9 2397:K8 2376:K6 2371:K5 2292:FX 2280:II 2275:X2 2270:64 2265:XP 2255:K6 2250:K5 2136:. 2110:. 2091:. 2066:. 2040:. 2014:. 1988:. 1962:. 1950:^ 1932:. 1907:. 1881:. 1833:. 1785:. 1760:. 1735:. 1723:^ 1706:. 1685:}} 1681:{{ 1666:. 1640:. 1626:^ 1609:. 1574:}} 1570:{{ 1555:. 1528:. 1503:. 1478:. 1452:. 1426:. 1376:. 1372:. 1353:. 1328:. 1302:. 1274:^ 1257:. 1231:. 1205:. 1179:. 1154:, 1150:, 1125:. 1099:. 1074:. 1049:. 1024:. 999:. 974:. 918:nm 737:. 642:3 639:2 536:6 500:6 493:4 418:8 349:L3 311:8 293:L2 254:8 212:8 209:4 188:L1 130:) 128:nm 2711:) 2707:( 2626:) 2622:( 2603:) 2557:) 2553:( 2364:) 2360:( 2223:e 2216:t 2209:v 2147:. 2121:. 2077:. 2051:. 2025:. 1999:. 1973:. 1944:. 1918:. 1892:. 1844:. 1797:. 1771:. 1746:. 1717:. 1691:) 1677:. 1651:. 1620:. 1594:. 1580:) 1566:. 1540:. 1514:. 1489:. 1463:. 1437:. 1411:. 1388:. 1357:. 1339:. 1313:. 1287:. 1268:. 1242:. 1216:. 1190:. 1160:T 1156:G 1152:M 1148:K 1136:. 1110:. 1085:. 1060:. 1035:. 1010:. 985:. 914:3 126:( 20:.

Index

Zen (first generation)
microarchitectures
AMD
Ryzen
Epyc
Microarchitecture
Zen
Zen 2
Zen 3
Zen 4
Zen 5
Zen+
Fabrication process
nm
14 nm
7 nm
5 nm
3 nm
Cache
L1
TLB
L2
L3
Simultaneous multithreading


Epyc

Threadripper
Zen (first generation)

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.