Knowledge

2 nm process

Source 📝

35: 530:: horizontal and vertical nanowires, horizontal nanosheet transistors (Samsung MBCFET, Intel Nanoribbon), vertical FET (VFET) and other vertical transistors, complementary FET (CFET), stacked FET, several kinds of horizontal gate-all-around transistors such as nano-ring, hexagonal wire, square wire, and round wire gate-all-around transistors and negative-capacitance FET (NC-FET) which uses drastically different materials. 578:, a unit equivalent to 0.1 nanometers. At the same time, they introduced a new process node naming scheme that aligned their product names with similar designations from their main competitors. Intel's 20A node was at that time projected to have been their first to move from FinFET to Gate-All-Around transistors (GAAFET); Intel's version was named ' 538:
GAAFET transistor type. In July 2021, TSMC received governmental approval to build its 2 nm plant. In August 2020, it began building an R&D lab for 2 nm technology in Hsinchu, expected to become partially operational by 2021. In September 2020, TSMC confirmed this and stated that it could also install production at
928:
presented a process technology roadmap which extends the current biannual cadence of node introduction and square-root-of-two node naming rule to 2036. The roadmap ends with process node "A2" (meant to represent a 2 angstrom node), named by analogy with TSMC's naming scheme to be introduced by then.
499:
As such, 2 nm is used primarily as a marketing term by the semiconductor industry to refer to a new, improved generation of chips in terms of increased transistor density (a higher degree of miniaturization), increased speed, and reduced power consumption compared to the previous 3 nm node
612:
roduction): the process previously remained on track for 2025 launch into mass production; number of nanosheets was projected to increase from 3 in "3GAP" to 4; the company worked on several improvements of metallization, namely "single-grain metal" for low-resistance vias and direct-etched metal
537:
chairman Mark Liu predicted chip scaling would continue to 3 nm and 2 nm nodes; however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond 3 nm could become viable. TSMC began research on 2 nm in 2019—expecting to transition from FinFET to
640:
In September 2024, Intel announced they would no longer be moving forward with their 20A process node, instead focusing on the development of 18A. Intel projected that avoiding ramping production of 20A could save over half a billion dollars. Intel noted that they'd successfully implemented
636:
core fabbed on the N2 process using a high-performance standard library was 16.4% faster at the same power, saved 37.2% of power at the same speed, or was ~10% faster and saved ~20% of power simultaneously at the same voltage (0.8 V) compared to the core fabbed on N3E using 3-2 fin library.
998:
As of 2023, Intel, TSMC and Samsung have all demonstrated CFET transistors. These transistors are made up of two stacked horizontal nanosheet transistors, one transistor is of the p-type (a pFET transistor) and the other transistor is of the n-type (an nFET transistor).
631:
In April 2023, at its Technology Symposium, TSMC introduced two more processes of its 2 nm technology platform: "N2P" featuring backside power delivery and scheduled for 2026, and "N2X" for high-performance applications. It was also revealed that the
563:
countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as 2 nm, as well as designing and manufacturing custom processors, assigning up to €145 billion in funds.
588:
In April 2022, TSMC announced its GAAFET N2 process technology would enter risk production phase at the end of 2024 and production phase in 2025. In July 2022, TSMC announced that its N2 process technology was expected to feature
1783: 1888: 652:
in their 20A process, accelerating 18A development. Intel's upcoming Arrow Lake family of processors, which were meant to use Intel 20A, will instead have dies sourced from "external partners" and packaged by Intel.
2311: 392:" (a term used by Intel), has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. According to the projections contained in the 2021 update of the 913:
reported that they planned 18A production for 2025. Intel's February 2022 roadmap added that 18A was previously expected to have delivered 10% improvement in performance per watt compared to Intel 20A.
1855: 2212: 585:
In October 2021, at Samsung Foundry Forum 2021, Samsung announced it would start mass production with its MBCFET (multi-bridge channel FET, Samsung's version of GAAFET) 2 nm process in 2025.
1207: 1720: 1572: 1660: 556:'s 2019 roadmap scheduled potentially equivalent 3 nm and 2 nm nodes for 2025 and 2027, respectively, and in December 2019 announced plans for 1.4 nm production in 2029. 1775: 1877: 1633: 1098: 346: 574:
In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their 2 nm process node called "Intel 20A", with "A" referring to an
2084: 2194: 1508: 593:
and was expected to offer 10–15% higher performance at iso power or 20–30% lower power at iso performance and over 20% higher transistor density compared to N3E.
1603: 543: 1972: 1369: 1847: 921:
In December 2021, Vertical-Transport FET (VTFET) CMOS logic transistor design with a vertical nanosheet was demonstrated at sub-45 nm gate pitch.
339: 2034: 1260: 397: 1124: 1456: 400:(IEEE), a "2.1 nm node range label" is expected to have a contacted gate pitch of 45 nanometers and a tightest metal pitch of 20 nanometers. 1564: 1916: 1690: 1654: 1815: 1067: 571:
announced it had produced chips with 2 nm-class GAAFET transistors using three silicon layer nanosheets with a gate length of 12 nm.
482: 332: 1741: 393: 2048: 1176: 2067: 1747: 1625: 1991: 975: 646: 2213:"Samsung Electronics Unveils Plans for 1.4nm Process Technology and Investment for Production Capacity at Samsung Foundry Forum 2022" 2131: 596:
In July 2022, Samsung made a number of disclosures regarding the company's previously forthcoming process technology called "2GAP" (
1208:"Intel announces cancellation of 20A process node for Arrow Lake, goes with external nodes instead, likely TSMC [Updated]" 1339: 1426: 1151:"Apple Supplier TSMC Will Begin Trial Production Of 2nm Chips Next Week, Aiming To Secure A Stable Yield Before Mass Production" 2170: 2098: 1397: 1274: 1036: 506:
began risk production of its 2 nm process in July 2024, with mass production planned for the second half of 2025, and
47: 1486: 1537: 1516: 514:
initially forecasted production in 2024 but scrapped its 2 nm node in favor of the smaller 18 angstrom (18A) node.
2113: 1954: 918:'s August 2024 newsroom announcement further indicated that the 18A process should be manufacturing-ready for 2025 H1. 1595: 2285: 1361: 984:
air gaps to further reduce relative permittivity of intermetal dielectric and, therefore, interconnect capacitance;
22: 948: 1090: 995:
presented their future business goals, which at that time included an aim to mass-produce 1.4 nm by 2027.
1150: 2016: 1712: 1288: 1246: 582:'. Their 2021 roadmap scheduled the Intel 20A node for volume production in 2024 and Intel 18A for 2025. 362: 932:
Apart from the expected shrinking of transistor structures and interconnects, innovations forecasted by
550:, the company at that time expected to have been installing production equipment for 2 nm by 2023. 1232: 1091:"A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric" 616:
In August 2022, a consortium of Japanese companies funded a new venture with government support called
1682: 279: 2132:"Intel 18A powered on and healthy, on track for next-gen client and server chip production next year" 1823: 1063: 1448: 1935: 2085:"Intel Unveils Meteor Lake Architecture: Intel 4 Heralds the Disaggregated Future of Mobile CPUs" 649: 590: 522:
By 2018, a number of transistor architectures had been proposed for the eventual replacement of
1973:"TSMC Outlines 2nm Plans: N2P Brings Backside Power Delivery in 2026, N2X Added To The Roadmap" 1596:"Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm" 314: 1848:"Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices" 1745: 1316: 2151:"Vertical-Transport Nanosheet Technology for CMOS Scaling beyond Lateral-Transport Devices" 289: 2068:"TSMC 2nm Update: N2 in 2025, N2P Loses Backside Power, and NanoFlex Brings Optimal Cells" 2035:"Samsung Foundry Update: 2nm Unveil in June, Second-Gen SF3 3nm Hits Production This Year" 8: 992: 666: 2249: 2176: 969: 944: 1331: 940:
transistor architecture (forksheet FET, CFET, CFET with atomic (2D material) channel);
2180: 2166: 1418: 2230: 546:(2020), expectations were for high yield risk production in late 2023. According to 97: 2162: 2158: 1302: 1064:"TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"" 304: 293: 274: 70: 61: 1751: 1391: 1042: 633: 319: 1125:"TSMC: Performance and Yields of 2nm on Track, Mass Production To Start In 2025" 987:
IC design innovations (2.5D chiplets, 3D interconnect), more advanced EDA tools.
106: 88: 79: 2150: 2049:"Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027" 1626:"EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology" 1478: 1177:"Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027" 958:
further reduction of standard cell height (eventually to "less than 4" tracks);
560: 299: 142: 136: 130: 124: 118: 112: 1543: 2305: 1419:"Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips" 1261:"Intel's Stacked Nanosheet Transistors Could be the Next Step in Moore's Law" 309: 214: 205: 196: 187: 178: 169: 160: 151: 1917:"Samsung 3nm GAAFET Enters Risk Production; Discusses Next-Gen Improvements" 981:
new manufacturing techniques (subtractive metallization, direct metal etch);
2266: 952: 547: 452: 436: 420: 378: 241: 232: 223: 1015:
Under Intel's previous naming scheme this node was known as 'Intel 5 nm'.
374: 42: 2231:"Intel, Samsung, and TSMC Demo 3D-Stacked Transistors - IEEE Spectrum" 955:
in 2023, and the first production tool to be shipped to Intel in 2025;
2312:
International Technology Roadmap for Semiconductors lithography nodes
965: 728: 642: 620:
for manufacturing of 2 nm chips. Rapidus signed agreements with
579: 385: 575: 539: 389: 34: 2195:"Imec Presents Sub-1nm Process and Transistor Roadmap Until 2036" 617: 507: 2282: 2274: 2270: 1776:"Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!" 723: 718: 527: 523: 370: 2148: 1683:"IBM unveils 2-nanometer chip technology for faster computing" 1656:
Joint declaration on processors and semiconductor technologies
1393:
TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report
915: 910: 676: 553: 511: 1955:"Japan to Manufacture 2nm Chips With a Little Help From IBM" 1565:"Taiwan gives TSMC green light for most advanced chip plant" 1744:
2020 to be associated with the "1.5 nm" process node:
951:
tools with the first $ 400 million tool to be completed at
933: 925: 671: 621: 534: 503: 284: 1038:
INTERNATIONAL ROADMAP FOR DEVICES AND SYSTEMS: More Moore
625: 568: 1477:
Chien-Chung, Chang; Huang, Frances (23 September 2020),
2155:
2021 IEEE International Electron Devices Meeting (IEDM)
1740:
12 nm gate length is the dimension defined by the
2114:"Intel Reports First-Quarter 2024 Financial Results" 373:(metal–oxide–semiconductor field-effect transistor) 1509:"TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH" 1275:"Nanowire Transistors Could Keep Moore's Law Alive" 1713:"IBM Introduces the World's First 2-nm Node Chip" 961:back-side power distribution, buried power rails; 398:Institute of Electrical and Electronics Engineers 2303: 1911: 1909: 1476: 1449:"TSMC developing 2nm tech at new R&D center" 1769: 1767: 1765: 1763: 1761: 1759: 1542:(in Chinese), 22 September 2020, archived from 1088: 1118: 1116: 2091: 1906: 1362:"SPIE Conference Predicts Bumpy Chip Roadmap" 1353: 1289:"Nanowires give vertical transistors a boost" 1247:"What's Different About Next-Gen Transistors" 968:for metallization (interconnects), graphene, 526:, most of which were based on the concept of 394:International Roadmap for Devices and Systems 340: 2079: 2077: 1756: 2062: 2060: 2058: 1816:"Intel Charts Manufacturing Course to 2025" 1323: 1113: 1479:"TSMC to build 2nm wafer plant in Hsinchu" 613:interconnect planned for 2GAP and beyond. 347: 333: 2074: 1952: 1329: 1233:"The Increasingly Uneven Race to 3nm/2nm" 2055: 1965: 1809: 1807: 1805: 1803: 1801: 2247: 2111: 1953:Humphries, Matthew (13 December 2022). 1933: 1773: 1710: 1587: 1359: 1041:, IEEE, 2021, p. 7, archived from 2304: 2017:"Samsung Foundry: 2nm Silicon in 2025" 1680: 1342:from the original on 24 September 2018 1205: 1148: 542:depending on demand. According to the 2149:Jagannathan, H.; et al. (2021). 1842: 1840: 1813: 1798: 1623: 1389: 1332:"TSMC: Chip Scaling Could Accelerate" 1330:Patterson, Alan (12 September 2018), 656: 1989: 1786:from the original on 3 November 2021 1663:from the original on 11 January 2021 1636:from the original on 10 January 2021 1606:from the original on 12 January 2021 1575:from the original on 4 November 2021 1506: 1489:from the original on 25 October 2020 1459:from the original on 24 January 2021 1446: 1429:from the original on 23 October 2020 1400:from the original on 7 November 2020 1201: 1199: 1197: 1101:from the original on 2 December 2020 1934:Manners, David (16 December 2022). 1593: 510:plans to start production in 2025. 16:Semiconductor manufacturing process 13: 2241: 2112:Discuss, btarunr (26 April 2024). 2099:"Continued Momentum for Intel 18A" 1992:"Continued Momentum for Intel 18A" 1936:"Imec and Rapidus sign up for 2nm" 1837: 1174: 1122: 14: 2323: 1894:from the original on 15 July 2022 1858:from the original on 8 April 2022 1372:from the original on 27 June 2019 1206:Alcorn, Paul (4 September 2024). 1194: 1070:from the original on 17 June 2020 645:gate-all-around architecture and 2286:semiconductor device fabrication 1774:Cutress, Dr Ian (26 July 2021). 1624:Dahad, Nitin (9 December 2020), 1089:Samuel K. Moore (21 July 2020). 33: 2248:Merritt, Rick (26 March 2018), 2223: 2205: 2187: 2142: 2124: 2105: 2041: 2027: 2009: 1983: 1946: 1927: 1870: 1734: 1723:from the original on 7 May 2021 1704: 1693:from the original on 7 May 2021 1674: 1647: 1617: 1557: 1530: 1507:Udin, Efe (23 September 2020), 1500: 1470: 1440: 1411: 1383: 1317:"Transistor Options Beyond 3nm" 1309: 1295: 1281: 1267: 1253: 1009: 2163:10.1109/IEDM19574.2021.9720561 1990:Sell, ben (4 September 2024). 1711:Johnson, Dexter (6 May 2021), 1681:Nellis, Stephen (6 May 2021), 1390:Zafar, Ramish (12 June 2019), 1360:Merritt, Rick (4 March 2019), 1239: 1225: 1168: 1142: 1082: 1056: 1029: 904: 559:At the end of 2020, seventeen 1: 2250:"2nm: End of the Road ?" 1814:Santo, Brian (27 July 2021), 1539:台积电2nm工艺重大突破!2023年风险试产良率或达90% 1447:Wang, Lisa (26 August 2020), 1022: 517: 1878:"TSMC Q2 2022 Earnings Call" 735:Transistor density (MTr/mm) 7: 1149:Salman, Ali (9 July 2024). 799:Transistor gate pitch (nm) 363:semiconductor manufacturing 10: 2328: 2157:. pp. 26.1.1–26.1.4. 886:2026 H2 volume production 883:2026 H2 volume production 880:2025 H2 volume production 727: 722: 717: 675: 670: 665: 1002: 831:Interconnect pitch (nm) 767:SRAM bit-cell size (μm) 388:", or alternatively "20 2217:Samsung Global Newsroom 1659:, EU, 7 December 2020, 1303:"What's After FinFETs?" 896:2024 H2 risk production 889:2024 H1 risk production 875:2027 volume production 650:backside power delivery 591:backside power delivery 891:2024 volume production 872:2026 volume production 869:2026 volume production 866:2025 volume production 1425:, 21 September 2020, 1066:. 10 September 2019. 544:Taiwan Economic Daily 1750:24 June 2021 at the 1546:on 24 September 2021 978:for atomic channel); 878:2025 risk production 2101:. 4 September 2024. 1319:. 15 February 2018. 991:In September 2022, 943:deployment of high- 898:2025 H1 production 1940:Electronics Weekly 1854:. 7 October 2021. 1519:on 19 October 2021 1249:. 20 October 2022. 936:were as follows: 657:2 nm process nodes 628:in December 2022. 2300: 2299: 2292:Succeeded by 2219:. 4 October 2022. 2172:978-1-6654-2572-8 2023:. 6 October 2021. 1826:on 19 August 2021 1780:www.anandtech.com 1600:www.anandtech.com 1423:www.digitimes.com 1181:www.anandtech.com 1129:www.anandtech.com 902: 901: 497: 496: 396:published by the 379:3 nm process 367:2 nm process 357: 356: 2319: 2263:Preceded by 2260: 2259: 2256: 2235: 2234: 2227: 2221: 2220: 2209: 2203: 2202: 2191: 2185: 2184: 2146: 2140: 2139: 2138:. 6 August 2024. 2128: 2122: 2121: 2109: 2103: 2102: 2095: 2089: 2088: 2081: 2072: 2071: 2064: 2053: 2052: 2045: 2039: 2038: 2031: 2025: 2024: 2013: 2007: 2006: 2004: 2002: 1987: 1981: 1980: 1979:. 26 April 2023. 1969: 1963: 1962: 1950: 1944: 1943: 1931: 1925: 1924: 1913: 1904: 1903: 1901: 1899: 1893: 1887:. 14 July 2022. 1882: 1874: 1868: 1867: 1865: 1863: 1844: 1835: 1834: 1833: 1831: 1822:, archived from 1811: 1796: 1795: 1793: 1791: 1771: 1754: 1738: 1732: 1731: 1730: 1728: 1708: 1702: 1701: 1700: 1698: 1678: 1672: 1671: 1670: 1668: 1651: 1645: 1644: 1643: 1641: 1621: 1615: 1614: 1613: 1611: 1591: 1585: 1584: 1582: 1580: 1561: 1555: 1554: 1553: 1551: 1534: 1528: 1527: 1526: 1524: 1515:, archived from 1513:www.gizchina.com 1504: 1498: 1497: 1496: 1494: 1474: 1468: 1467: 1466: 1464: 1444: 1438: 1437: 1436: 1434: 1415: 1409: 1408: 1407: 1405: 1387: 1381: 1380: 1379: 1377: 1357: 1351: 1350: 1349: 1347: 1327: 1321: 1320: 1313: 1307: 1306: 1299: 1293: 1292: 1291:. 2 August 2012. 1285: 1279: 1278: 1271: 1265: 1264: 1257: 1251: 1250: 1243: 1237: 1236: 1229: 1223: 1222: 1220: 1218: 1203: 1192: 1191: 1189: 1187: 1172: 1166: 1165: 1163: 1161: 1146: 1140: 1139: 1137: 1135: 1120: 1111: 1110: 1108: 1106: 1086: 1080: 1079: 1077: 1075: 1060: 1054: 1053: 1052: 1050: 1045:on 7 August 2022 1033: 1016: 1013: 715:Transistor type 661: 660: 403: 402: 349: 342: 335: 305:Transistor count 258: 240: 231: 222: 213: 204: 195: 186: 177: 168: 159: 150: 105: 96: 87: 78: 69: 60: 37: 19: 18: 2327: 2326: 2322: 2321: 2320: 2318: 2317: 2316: 2302: 2301: 2293: 2264: 2254:www.eetasia.com 2244: 2242:Further reading 2239: 2238: 2229: 2228: 2224: 2211: 2210: 2206: 2193: 2192: 2188: 2173: 2147: 2143: 2130: 2129: 2125: 2110: 2106: 2097: 2096: 2092: 2083: 2082: 2075: 2066: 2065: 2056: 2047: 2046: 2042: 2033: 2032: 2028: 2015: 2014: 2010: 2000: 1998: 1988: 1984: 1971: 1970: 1966: 1951: 1947: 1932: 1928: 1915: 1914: 1907: 1897: 1895: 1891: 1880: 1876: 1875: 1871: 1861: 1859: 1846: 1845: 1838: 1829: 1827: 1820:www.eetimes.com 1812: 1799: 1789: 1787: 1772: 1757: 1752:Wayback Machine 1739: 1735: 1726: 1724: 1709: 1705: 1696: 1694: 1679: 1675: 1666: 1664: 1653: 1652: 1648: 1639: 1637: 1622: 1618: 1609: 1607: 1592: 1588: 1578: 1576: 1563: 1562: 1558: 1549: 1547: 1536: 1535: 1531: 1522: 1520: 1505: 1501: 1492: 1490: 1475: 1471: 1462: 1460: 1453:taipeitimes.com 1445: 1441: 1432: 1430: 1417: 1416: 1412: 1403: 1401: 1388: 1384: 1375: 1373: 1366:www.eetasia.com 1358: 1354: 1345: 1343: 1336:www.eetimes.com 1328: 1324: 1315: 1314: 1310: 1305:. 24 July 2017. 1301: 1300: 1296: 1287: 1286: 1282: 1273: 1272: 1268: 1259: 1258: 1254: 1245: 1244: 1240: 1231: 1230: 1226: 1216: 1214: 1204: 1195: 1185: 1183: 1175:Shilov, Anton. 1173: 1169: 1159: 1157: 1147: 1143: 1133: 1131: 1123:Shilov, Anton. 1121: 1114: 1104: 1102: 1087: 1083: 1073: 1071: 1062: 1061: 1057: 1048: 1046: 1035: 1034: 1030: 1025: 1020: 1019: 1014: 1010: 1005: 973: 964:new materials ( 907: 897: 892: 890: 879: 863:Release status 659: 634:ARM Cortex-A715 520: 353: 324: 320:Nanoelectronics 271: 265: 256: 247: 238: 229: 220: 211: 202: 193: 184: 175: 166: 157: 148: 103: 94: 85: 76: 67: 58: 45: 26: 24: 17: 12: 11: 5: 2325: 2315: 2314: 2298: 2297: 2290: 2279: 2258: 2257: 2243: 2240: 2237: 2236: 2222: 2204: 2201:. 21 May 2022. 2199:Tom's Hardware 2186: 2171: 2141: 2123: 2104: 2090: 2073: 2054: 2040: 2026: 2008: 1982: 1964: 1945: 1926: 1923:. 5 July 2022. 1905: 1869: 1836: 1797: 1755: 1733: 1703: 1673: 1646: 1630:www.eetimes.eu 1616: 1594:Cutress, Ian, 1586: 1556: 1529: 1499: 1483:focustaiwan.tw 1469: 1439: 1410: 1382: 1352: 1322: 1308: 1294: 1280: 1266: 1252: 1238: 1235:. 24 May 2021. 1224: 1212:Tom's Hardware 1193: 1167: 1141: 1112: 1081: 1055: 1027: 1026: 1024: 1021: 1018: 1017: 1007: 1006: 1004: 1001: 989: 988: 985: 982: 979: 971: 962: 959: 956: 941: 909:In July 2021, 906: 903: 900: 899: 894: 887: 884: 881: 876: 873: 870: 867: 864: 860: 859: 856: 853: 850: 847: 844: 841: 838: 835: 832: 828: 827: 824: 821: 818: 815: 812: 809: 806: 803: 800: 796: 795: 792: 789: 786: 783: 780: 777: 774: 771: 768: 764: 763: 760: 757: 754: 751: 748: 745: 742: 739: 736: 732: 731: 726: 721: 716: 712: 711: 708: 705: 702: 699: 696: 693: 690: 687: 684: 680: 679: 674: 669: 664: 658: 655: 561:European Union 533:In late 2018, 519: 516: 495: 494: 491: 488: 485: 479: 478: 475: 472: 469: 465: 464: 461: 458: 455: 449: 448: 445: 442: 439: 433: 432: 429: 426: 423: 417: 416: 413: 410: 407: 355: 354: 352: 351: 344: 337: 329: 326: 325: 323: 322: 317: 312: 307: 302: 297: 287: 282: 277: 270: 267: 266: 264: 263: 252: 249: 248: 246: 245: 236: 227: 218: 209: 200: 191: 182: 173: 164: 155: 146: 140: 134: 128: 122: 116: 110: 101: 92: 83: 74: 65: 55: 52: 51: 43:MOSFET scaling 39: 38: 30: 29: 15: 9: 6: 4: 3: 2: 2324: 2313: 2310: 2309: 2307: 2296: 2291: 2289: 2287: 2284: 2280: 2278: 2276: 2272: 2268: 2262: 2261: 2255: 2251: 2246: 2245: 2232: 2226: 2218: 2214: 2208: 2200: 2196: 2190: 2182: 2178: 2174: 2168: 2164: 2160: 2156: 2152: 2145: 2137: 2133: 2127: 2119: 2115: 2108: 2100: 2094: 2086: 2080: 2078: 2069: 2063: 2061: 2059: 2050: 2044: 2036: 2030: 2022: 2018: 2012: 1997: 1993: 1986: 1978: 1974: 1968: 1960: 1956: 1949: 1941: 1937: 1930: 1922: 1921:WikiChip Fuse 1918: 1912: 1910: 1890: 1886: 1879: 1873: 1857: 1853: 1849: 1843: 1841: 1825: 1821: 1817: 1810: 1808: 1806: 1804: 1802: 1785: 1781: 1777: 1770: 1768: 1766: 1764: 1762: 1760: 1753: 1749: 1746: 1743: 1737: 1722: 1718: 1717:IEEE Spectrum 1714: 1707: 1692: 1688: 1684: 1677: 1662: 1658: 1657: 1650: 1635: 1631: 1627: 1620: 1605: 1601: 1597: 1590: 1574: 1570: 1566: 1560: 1545: 1541: 1540: 1533: 1518: 1514: 1510: 1503: 1488: 1484: 1480: 1473: 1458: 1454: 1450: 1443: 1428: 1424: 1420: 1414: 1399: 1395: 1394: 1386: 1371: 1367: 1363: 1356: 1341: 1337: 1333: 1326: 1318: 1312: 1304: 1298: 1290: 1284: 1276: 1270: 1262: 1256: 1248: 1242: 1234: 1228: 1213: 1209: 1202: 1200: 1198: 1182: 1178: 1171: 1156: 1152: 1145: 1130: 1126: 1119: 1117: 1100: 1096: 1095:IEEE Spectrum 1092: 1085: 1069: 1065: 1059: 1044: 1040: 1039: 1032: 1028: 1012: 1008: 1000: 996: 994: 986: 983: 980: 977: 974: 967: 963: 960: 957: 954: 950: 946: 942: 939: 938: 937: 935: 930: 927: 924:In May 2022, 922: 919: 917: 912: 895: 893:Canceled 2024 888: 885: 882: 877: 874: 871: 868: 865: 862: 861: 857: 854: 851: 848: 845: 842: 839: 836: 833: 830: 829: 825: 822: 819: 816: 813: 810: 807: 804: 801: 798: 797: 793: 790: 787: 784: 781: 778: 775: 772: 769: 766: 765: 761: 758: 755: 752: 749: 746: 743: 740: 737: 734: 733: 730: 725: 720: 714: 713: 709: 706: 703: 700: 697: 694: 691: 688: 685: 683:Process name 682: 681: 678: 673: 668: 663: 662: 654: 651: 648: 644: 638: 635: 629: 627: 623: 619: 614: 611: 607: 603: 599: 594: 592: 586: 583: 581: 577: 572: 570: 567:In May 2021, 565: 562: 557: 555: 551: 549: 545: 541: 536: 531: 529: 525: 515: 513: 509: 505: 501: 492: 489: 486: 484: 481: 480: 476: 473: 470: 467: 466: 462: 459: 456: 454: 451: 450: 446: 443: 440: 438: 435: 434: 430: 427: 424: 422: 419: 418: 414: 411: 408: 405: 404: 401: 399: 395: 391: 387: 382: 380: 376: 372: 368: 364: 359: 350: 345: 343: 338: 336: 331: 330: 328: 327: 321: 318: 316: 313: 311: 310:Semiconductor 308: 306: 303: 301: 298: 295: 291: 288: 286: 283: 281: 278: 276: 273: 272: 269: 268: 261: 255: 254: 251: 250: 243: 237: 234: 228: 225: 219: 216: 210: 207: 201: 198: 192: 189: 183: 180: 174: 171: 165: 162: 156: 153: 147: 144: 141: 138: 135: 132: 129: 126: 123: 120: 117: 114: 111: 108: 102: 99: 93: 90: 84: 81: 75: 72: 66: 63: 57: 56: 54: 53: 49: 48:process nodes 44: 41: 40: 36: 32: 31: 28: 23:Semiconductor 21: 20: 2294: 2281: 2265: 2253: 2225: 2216: 2207: 2198: 2189: 2154: 2144: 2135: 2126: 2117: 2107: 2093: 2043: 2029: 2020: 2011: 2001:11 September 1999:. Retrieved 1995: 1985: 1976: 1967: 1958: 1948: 1939: 1929: 1920: 1896:. Retrieved 1884: 1872: 1860:. Retrieved 1851: 1828:, retrieved 1824:the original 1819: 1788:. Retrieved 1779: 1736: 1725:, retrieved 1716: 1706: 1695:, retrieved 1686: 1676: 1665:, retrieved 1655: 1649: 1638:, retrieved 1629: 1619: 1610:23 September 1608:, retrieved 1599: 1589: 1577:. Retrieved 1568: 1559: 1550:24 September 1548:, retrieved 1544:the original 1538: 1532: 1523:24 September 1521:, retrieved 1517:the original 1512: 1502: 1493:23 September 1491:, retrieved 1482: 1472: 1463:23 September 1461:, retrieved 1452: 1442: 1433:23 September 1431:, retrieved 1422: 1413: 1404:23 September 1402:, retrieved 1392: 1385: 1376:23 September 1374:, retrieved 1365: 1355: 1346:23 September 1344:, retrieved 1335: 1325: 1311: 1297: 1283: 1269: 1255: 1241: 1227: 1217:10 September 1215:. Retrieved 1211: 1186:10 September 1184:. Retrieved 1180: 1170: 1160:10 September 1158:. Retrieved 1154: 1144: 1134:10 September 1132:. Retrieved 1128: 1103:. Retrieved 1094: 1084: 1072:. Retrieved 1058: 1047:, retrieved 1043:the original 1037: 1031: 1011: 997: 990: 931: 923: 920: 908: 639: 630: 615: 609: 605: 601: 597: 595: 587: 584: 573: 566: 558: 552: 532: 521: 502: 500:generation. 498: 384:The term "2 383: 369:is the next 366: 360: 358: 262: ~ 2025 259: 244: – 2022 235: – 2020 226: – 2018 217: – 2016 208: – 2014 199: – 2012 190: – 2010 181: – 2009 172: – 2007 163: – 2005 154: – 2003 145: – 2001 139: – 1999 133: – 1996 127: – 1993 121: – 1990 115: – 1987 109: – 1984 100: – 1981 91: – 1977 82: – 1974 73: – 1971 64: – 1968 2267:"3 nm" 2118:TechPowerUp 1569:Nikkei Asia 905:Beyond 2 nm 412:Metal pitch 300:Moore's law 143:130 nm 137:180 nm 131:250 nm 125:350 nm 119:600 nm 113:800 nm 98:1.5 μm 27:fabrication 1023:References 608:ll-around 518:Background 490:16 nm 487:42 nm 474:20 nm 471:45 nm 460:24 nm 457:48 nm 444:30 nm 441:51 nm 428:40 nm 425:60 nm 409:Gate pitch 377:after the 375:die shrink 294:multi-gate 275:Half-nodes 215:10 nm 206:14 nm 197:22 nm 188:28 nm 179:32 nm 170:45 nm 161:65 nm 152:90 nm 71:10 μm 62:20 μm 2181:247321213 2021:AnandTech 1977:AnandTech 1830:11 August 1667:9 January 1640:9 January 1579:24 August 976:monolayer 966:ruthenium 858:Un­known 852:Un­known 843:Un­known 826:Un­known 820:Un­known 811:Un­known 794:Un­known 788:Un­known 779:Un­known 762:Un­known 756:Un­known 747:Un­known 729:RibbonFET 643:RibbonFET 580:RibbonFET 483:1 nm 468:2 nm 453:3 nm 437:5 nm 421:7 nm 386:nanometer 260:2 nm 242:3 nm 233:5 nm 224:7 nm 107:1 μm 89:3 μm 80:6 μm 2306:Category 1889:Archived 1856:Archived 1784:Archived 1748:Archived 1721:archived 1691:archived 1661:archived 1634:archived 1604:archived 1573:Archived 1487:archived 1457:archived 1427:archived 1398:archived 1370:archived 1340:archived 1155:Wccftech 1105:20 April 1099:Archived 1097:. IEEE. 1074:20 April 1068:Archived 1049:7 August 855:Un­known 849:Un­known 846:Un­known 840:Un­known 837:Un­known 834:Un­known 823:Un­known 817:Un­known 814:Un­known 808:Un­known 805:Un­known 802:Un­known 791:Un­known 785:Un­known 782:Un­known 776:Un­known 773:Un­known 770:Un­known 759:Un­known 753:Un­known 750:Un­known 744:Un­known 741:Un­known 738:Un­known 647:PowerVia 576:angstrom 540:Taichung 390:angstrom 315:Industry 2295:unknown 2288:process 1898:22 July 1852:Samsung 1790:27 July 1687:Reuters 993:Samsung 947:(0.55) 667:Samsung 618:Rapidus 508:Samsung 406:Process 280:Density 253:Future 2283:MOSFET 2275:GAAFET 2271:FinFET 2179:  2169:  724:GAAFET 719:MBCFET 548:Nikkei 528:GAAFET 524:FinFET 381:node. 371:MOSFET 365:, the 290:Device 95:  25:device 2177:S2CID 2136:Intel 1996:Intel 1959:PCMAG 1892:(PDF) 1881:(PDF) 1862:9 May 1727:7 May 1697:6 May 1003:Notes 916:Intel 911:Intel 695:SF2Z 677:Intel 554:Intel 512:Intel 493:2027 477:2025 463:2022 447:2020 431:2018 415:Year 2167:ISBN 2003:2024 1900:2022 1885:TSMC 1864:2022 1832:2021 1792:2021 1742:IRDS 1729:2021 1699:2021 1669:2021 1642:2021 1612:2020 1581:2021 1552:2021 1525:2021 1495:2020 1465:2020 1435:2020 1406:2020 1378:2020 1348:2020 1219:2024 1188:2024 1162:2024 1136:2024 1107:2021 1076:2020 1051:2022 953:ASML 934:imec 926:imec 710:18A 704:N2X 692:SF2X 689:SF2P 672:TSMC 624:and 622:imec 604:ate 535:TSMC 504:TSMC 285:CMOS 2159:doi 949:EUV 707:20A 701:N2P 686:SF2 626:IBM 600:nm 569:IBM 361:In 2308:: 2252:, 2215:. 2197:. 2175:. 2165:. 2153:. 2134:. 2116:. 2076:^ 2057:^ 2019:. 1994:. 1975:. 1957:. 1938:. 1919:. 1908:^ 1883:. 1850:. 1839:^ 1818:, 1800:^ 1782:. 1778:. 1758:^ 1719:, 1715:, 1689:, 1685:, 1632:, 1628:, 1602:, 1598:, 1571:. 1567:. 1511:, 1485:, 1481:, 1455:, 1451:, 1421:, 1396:, 1368:, 1364:, 1338:, 1334:, 1210:. 1196:^ 1179:. 1153:. 1127:. 1115:^ 1093:. 970:WS 945:NA 698:N2 257:00 239:00 230:00 221:00 104:00 86:00 77:00 2277:) 2273:/ 2269:( 2233:. 2183:. 2161:: 2120:. 2087:. 2070:. 2051:. 2037:. 2005:. 1961:. 1942:. 1902:. 1866:. 1794:. 1583:. 1277:. 1263:. 1221:. 1190:. 1164:. 1138:. 1109:. 1078:. 972:2 610:P 606:A 602:G 598:2 348:e 341:t 334:v 296:) 292:( 212:0 203:0 194:0 185:0 176:0 167:0 158:0 149:0 68:0 59:0 50:) 46:(

Index

Semiconductor
device
fabrication


MOSFET scaling
process nodes
20 μm
10 μm
6 μm
3 μm
1.5 μm
1 μm
800 nm
600 nm
350 nm
250 nm
180 nm
130 nm
90 nm
65 nm
45 nm
32 nm
28 nm
22 nm
14 nm
10 nm
7 nm
5 nm
3 nm
2 nm
Half-nodes
Density

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.