Knowledge

Field-programmable gate array

Source 📝

55: 1459:. Their flexibility and programmability make them ideal for military communications, offering customizable and secure signal processing. In the JTRS, used by the US military, FPGAs provide adaptability and real-time processing, crucial for meeting various communication standards and encryption methods. Thales leverages FPGA technology in designing communication devices that fulfill the rigorous demands of military use, including rapid reconfiguration and robust security. Similarly, Harris Corporation, now part of 623: 40: 1754: 452: 848: – a single piece of silicon that carries passive interconnect. The multi-die construction also allows different parts of the FPGA to be created with different process technologies, as the process requirements are different between the FPGA fabric itself and the very high speed 28 Gbit/s serial transceivers. An FPGA built in this way is called a 1409:, which used a Xilinx FPGA. Often a custom-made chip would be cheaper if made in larger quantities, but FPGAs may be chosen to quickly bring a product to market. Again, to the extent the availability of lower-cost FPGAs is increasing, it can become justifiable to include them even in larger production runs. 89:
not as important, and where creating and manufacturing a custom circuit wouldn't be feasible. Other applications for FPGAs include the telecommunications, automotive, aerospace, and industrial sectors, which benefit from their flexibility, high signal processing speed, and parallel processing abilities.
88:
with a connecting grid, that can be configured "in the field" to interconnect with other logic blocks to perform various digital functions. FPGAs are often used in limited (low) quantity production of custom-made products, and in research and development, where the higher cost of individual FPGAs is
665:
that reconfigure themselves to suit the task at hand. Additionally, new non-FPGA architectures are beginning to emerge. Software-configurable microprocessors such as the Stretch S5000 adopt a hybrid approach by providing an array of processor cores and FPGA-like programmable cores on the same chip.
550:
Modern FPGA families expand upon the above capabilities to include higher-level functionality fixed in silicon. Having these common functions embedded in the circuit reduces the area required and gives those functions increased performance compared to building them from logical primitives. Examples
1388:
where the volume of production is small. For these low-volume applications, the premium that companies pay in hardware cost per unit for a programmable chip is more affordable than the development resources spent on creating an ASIC. As of 2017, new cost and performance dynamics have broadened the
1642:
Historically, FPGAs have been slower, less energy efficient and generally achieved less functionality than their fixed ASIC counterparts. A study from 2006 showed that designs implemented on FPGAs need on average 40 times as much area, draw 12 times as much dynamic power, and run at one third the
1357:
The evolution of FPGAs has motivated an increase in the use of these devices, whose architecture allows the development of hardware solutions optimized for complex tasks, such as 3D MRI image segmentation, 3D discrete wavelet transform, tomographic image reconstruction, or PET/MRI systems. The
1633:
In 2020 a critical vulnerability (named "Starbleed") was discovered in all Xilinx 7series FPGAs that rendered bitstream encryption useless. There is no workaround. Xilinx did not produce a hardware revision. Ultrascale and later devices, already on the market at the time, were not affected.
482:"An application circuit must be mapped into an FPGA with adequate resources. While the number of logic blocks and I/Os required is easily determined from the design, the number of routing channels needed may vary considerably even among designs with the same amount of logic. For example, a 1392:
Where personal computer peripherals exist in niche markets or are struggling to make inroads into a mass market (sometimes despite heavy promotion), it can be more cost-effective to utilise FPGAs for small production runs (e.g. 1,000 units). Examples include exotic products such as e.g.
1106:: flash-erase EPROM technology. Can be erased, even in plastic packages. Some but not all flash devices can be in-system programmed. Usually, a flash cell is smaller than an equivalent EEPROM cell and is, therefore, less expensive to manufacture. CMOS. Example: Actel ProASIC family. 154:
development due to their capability to start system software development simultaneously with hardware, enable system performance simulations at a very early phase of the development, and allow various system trials and design iterations before finalizing the system architecture.
605:
instead of LUTs so they have ASIC-level performance and power consumption without consuming a significant amount of fabric resources, leaving more of the fabric free for the application-specific logic. The multi-gigabit transceivers also contain high-performance
1662:: developing their prototype hardware on FPGAs, but manufacture their final version as an ASIC so that it can no longer be modified after the design has been committed. This is often also the case with new processor designs. Some FPGAs have the capability of 3033:
Alcaín, Eduardo; Fernández, Pedro R.; Nieto, Rubén; Montemayor, Antonio S.; Vilas, Jaime; Galiana-Bordera, Adrian; Martinez-Girones, Pedro Miguel; Prieto-de-la-Lastra, Carmen; Rodriguez-Vila, Borja; Bonet, Marina; Rodriguez-Sanchez, Cristina (2021-12-15).
889:
design. The HDL form is more suited to work with large structures because it's possible to specify high-level functional behavior rather than drawing every piece by hand. However, schematic entry can allow for easier visualization of a design and its
490:
with the same gate count. Since unused routing channels increase the cost (and decrease the performance) of the FPGA without providing any benefit, FPGA manufacturers try to provide just enough channels so that most designs that will fit in terms of
1733:
CPLDs are generally preferred. For most other applications FPGAs are generally preferred. Sometimes both CPLDs and FPGAs are used in a single system design. In those designs, CPLDs generally perform glue logic functions and are responsible for
199:
in 1985 – the XC2064. The XC2064 had programmable gates and programmable interconnects between gates, the beginnings of a new technology and market. The XC2064 had 64 configurable logic blocks (CLBs), with two three-input
962:
To simplify the design of complex systems in FPGAs, there exist libraries of predefined complex functions and circuits that have been tested and optimized to speed up the design process. These predefined circuits are commonly called
840:
7-series FPGAs, Xilinx said that several of the highest-density parts in those FPGA product lines will be constructed using multiple dies in one package, employing technology developed for 3D construction and stacked-die assemblies.
923:
techniques. Once the design and validation process is complete, the binary file generated, typically using the FPGA vendor's proprietary software, is used to (re-)configure the FPGA. This file is transferred to the FPGA via a
2115:"Global FPGA Market Analysis And Segment Forecasts To 2020 – FPGA Industry, Outlook, Size, Application, Product, Share, Growth Prospects, Key Opportunities, Dynamics, Trends, Analysis, FPGA Report – Grand View Research Inc" 1358:
developed solutions can perform intensive computation tasks with parallel processing, are dynamically reprogrammable, and have a low cost, all while meeting the hard real-time requirements associated with medical imaging.
969:, and are available from FPGA vendors and third-party IP suppliers. They are rarely free, and typically released under proprietary licenses. Other predefined circuits are available from developer communities such as 180:
was founded in 1983 and delivered the industry's first reprogrammable logic device in 1984 – the EP300 – which featured a quartz window in the package that allowed users to shine an ultra-violet lamp on the
858:
Altera's heterogeneous approach involves using a single monolithic FPGA die and connecting other dies and technologies to the FPGA using Intel's embedded multi_die interconnect bridge (EMIB) technology.
211:
funded an experiment proposed by Steve Casselman to develop a computer that would implement 600,000 reprogrammable gates. Casselman was successful and a patent related to the system was issued in 1992.
1701:(EDA) software. In practice, the distinction between FPGAs and CPLDs is often one of size as FPGAs are usually much larger in terms of resources than CPLDs. Typically only FPGAs contain more complex 959:) has an FPGA add-in module available to target and program FPGA hardware. Verilog was created to simplify the process making HDL more robust and flexible. Verilog has a C-like syntax, unlike VHDL. 479:, and routing channels. Generally, all the routing channels have the same width (number of signals). Multiple I/O pads may fit into the height of one row or the width of one column in the array. 1697:. This makes them far more flexible (in terms of the range of designs that are practical for implementation on them) but also far more complex to design for, or at least requiring more complex 215:
Altera and Xilinx continued unchallenged and quickly grew from 1985 to the mid-1990s when competitors sprouted up, eroding a significant portion of their market share. By 1993, Actel (later
367:
of a portion of the design and the low non-recurring engineering costs relative to an ASIC design (notwithstanding the generally higher unit cost), offer advantages for many applications.
1546:
was exposed while the FPGA loads it from external memory (typically on every power-on). All major FPGA vendors now offer a spectrum of security solutions to designers such as bitstream
2520: 1035:(Open Computing Language) is being used by programmers to take advantage of the performance and power efficiencies that FPGAs provide. OpenCL allows programmers to develop code in the 3297:
Huffmire, Ted; Brotherton, Brett; Sherwood, Timothy; Kastner, Ryan; Levin, Timothy; Nguyen, Thuy D.; Irvine, Cynthia (2008). "Managing Security in FPGA-Based Embedded Systems".
2294: 409:
used for clock generation and management as well as for high-speed serializer-deserializer (SERDES) transmit clocks and receiver clock recovery. Fairly common are differential
1288:
QuickLogic manufactures ultra-low-power sensor hubs, extremely-low-powered, low-density SRAM-based FPGAs, with display bridges MIPI and RGB inputs; MIPI, RGB and LVDS outputs.
2263: 1100:: electrically erasable programmable read-only memory technology. Can be erased, even in plastic packages. Some but not all EEPROM devices can be in-system programmed. CMOS. 1881: 1570:(PUFs) are integrated circuits that have their own unique signatures, due to processing, and can also be used to secure FPGAs while taking up very little hardware space. 3472: 2475: 1365:, where one can use the FPGA to accelerate certain parts of an algorithm and share part of the computation between the FPGA and a generic processor. The search engine 2573: 610:
circuitry along with high-speed serializers and deserializers, components that cannot be built out of LUTs. Higher-level physical layer (PHY) functionality such as
2491: 226:
The 1990s were a period of rapid growth for FPGAs, both in circuit sophistication and the volume of production. In the early 1990s, FPGAs were primarily used in
6308: 3211: 1225: 3409: 1742:
and boot sequence of the complete circuit board. Therefore, depending on the application it may be judicious to use both FPGAs and CPLDs in a single design.
1228:, manufacturing small and medium-sized SRAM and Flash-based FPGAs. They also offer pin-compatible replacements for a few Xilinx, Altera and Lattice products. 2780: 1611:
In 2012 researchers Sergei Skorobogatov and Christopher Woods demonstrated that some FPGAs can be vulnerable to hostile intent. They discovered a critical
2837: 1020:
description where simulation is repeated to confirm the synthesis proceeded without errors. Finally, the design is laid out in the FPGA at which point
1094:: erasable programmable read-only memory technology. One-time programmable but with window, can be erased with ultraviolet (UV) light. CMOS. Obsolete. 1593:
protection for space applications. Customers wanting a higher guarantee of tamper resistance can use write-once, antifuse FPGAs from vendors such as
4788: 2794: 6252: 3738: 3526: 2184: 1618:
had been manufactured in silicon as part of the Actel/Microsemi ProAsic 3 making it vulnerable on many levels such as reprogramming crypto and
2509: 1054:-based approach to be programmed. These FPGAs are in-system programmable and re-programmable, but require external boot devices. For example, 433:(SoC). Such devices blur the line between an FPGA, which carries digital ones and zeros on its internal programmable interconnect fabric, and 5242: 2812: 2909: 2749: 2372: 5689: 2004: 1913: 237:
By 2013, Altera (31 percent), Actel (10 percent) and Xilinx (36 percent) together represented approximately 77 percent of the FPGA market.
2602: 1342:. As their size, capabilities, and speed increased, FPGAs took over additional functions to the point where some are now marketed as full 1194:
On October 27, 2020, AMD announced it would acquire Xilinx and completed the acquisition valued at about US$ 50 billion in February 2022.
1184:
logic and interconnect that claims potential cost savings for high-density applications. On March 24, 2015, Tabula officially shut down.
393:
unacceptably, and to set higher rates on heavily loaded high-speed channels that would otherwise run too slowly. Also common are quartz-
5474: 5183: 2544: 2291: 4612: 5512: 4964: 4958: 4952: 4940: 4928: 4922: 4910: 4814: 2271: 2237: 1219: 965: 638: 6558: 6407: 5712: 5414: 4253: 3570: 1889: 101: 5517: 2985: 2726: 496: 240:
Companies like Microsoft have started to use FPGAs to accelerate high-performance, computationally intensive systems (like the
3687:
Mencer, Oskar et al. (2020). "The history, status, and future of FPGAs". Communications of the ACM. ACM. Vol. 63, No. 10. doi:
3501: 3272: 2649: 3643: 3624: 3455: 2220: 1988: 1832: 1463:, incorporates FPGAs in its defense and commercial communication solutions, enhancing signal processing and system security. 174:(PLDs). PROMs and PLDs both had the option of being programmed in batches in a factory or in the field (field-programmable). 2459: 1957: 1855: 526:
mode, their outputs are fed to the adder. The selection of mode is programmed into the second mux. The output can be either
5890: 5860: 5349: 5095: 4781: 4170: 3546: 2581: 833: 2702: 2311: 6245: 3951: 3731: 996:, an FPGA application developer will simulate the design at multiple stages throughout the design process. Initially the 911:, usually performed by the FPGA company's proprietary place-and-route software. The user will validate the results using 3179: 1369:
is noted for adopting FPGA acceleration for its search algorithm in 2014. As of 2018, FPGAs are seeing increased use as
54: 5462: 5361: 5022: 2488: 3438:
Skorobogatov, Sergei; Woods, Christopher (2012). "Breakthrough Silicon Scanning Discovers Backdoor in Military Chip".
1481:
Consists of proven hardware modules with programmable software and FPGA options for advanced, configurable data links.
359:
and RAM blocks to implement complex digital computations. FPGAs can be used to implement any logical function that an
6412: 5734: 5396: 5235: 5209:
It is relatively common to find packages that contain other components than their designated ones, such as diodes or
5152: 5032: 3934: 3830: 3495: 3133: 2873: 2510:"Xilinx Stacked Silicon Interconnect Technology Delivers Breakthrough FPGA Capacity, Bandwidth, and Power Efficiency" 2247: 1670: 1331: 3204: 2098: 1507:
Utilizes Software Defined Radio (SDR) technology, typically involving FPGA for enhanced flexibility and performance.
6447: 6330: 5682: 5017: 4074: 3801: 3417: 3077:"RNS-Based FPGA Accelerators for High-Quality 3D Medical Image Wavelet Processing Using Scaled Filter Coefficients" 1941: 1539: 813: 381:
Some FPGAs have analog features in addition to digital functions. The most common analog feature is a programmable
792:. Complex designs can use multiple clocks with different frequency and phase relationships, each forming separate 6578: 5875: 5408: 5344: 4774: 4122: 3921: 2863: 434: 768:. FPGAs contain dedicated global and regional routing networks for clock and reset, typically implemented as an 614:
may or may not be implemented alongside the serializers and deserializers in hard logic, depending on the FPGA.
6588: 6573: 6238: 3724: 1283:, a second source of some Altera-compatible devices; also FPSLIC mentioned above; acquired by Microchip in 2016 1222:
offers small to medium-sized FPGAs. They combine logic and routing interconnects into a configurable XLR cell.
5925: 5801: 5534: 4826: 1085: 882: 406: 167: 93: 2762: 1062:
devices may load contents into internal SRAM that controls routing and logic. The SRAM approach is based on
6583: 6568: 5739: 5228: 5178: 4153: 3905: 1698: 1605: 1567: 1563: 1134: 898: 375: 208: 234:. By the end of the decade, FPGAs found their way into consumer, automotive, and industrial applications. 77:
that can be repeatedly programmed after manufacturing. FPGAs are a subset of logic devices referred to as
5920: 5675: 5651: 5546: 5061: 3957: 3894: 1646:
Advantages of FPGAs include the ability to re-program when already deployed (i.e. "in the field") to fix
1448: 1350:
into FPGA architectures in the late 1990s, applications which had traditionally been the sole reserve of
1088:: programmable read-only memory technology. One-time programmable because of plastic packaging. Obsolete. 1082:: one-time programmable. CMOS. Examples: Actel SX and Axcelerator families; Quicklogic Eclipse II family. 1051: 920: 872: 805: 745: 741: 426: 422: 5895: 3547:"AN 818: Static Update Partial Reconfiguration Tutorial: for Intel Stratix 10 GX FPGA Development Board" 3015: 2160: 1534:
FPGAs have both advantages and disadvantages as compared to ASICs or secure microprocessors, concerning
6313: 5566: 5524: 5066: 5027: 5012: 4997: 4617: 4164: 3706: 1647: 1181: 506:
In general, a logic block consists of a few logical cells. A typical cell consists of a 4-input LUT, a
385:
on each output pin, allowing the engineer to set low rates on lightly loaded pins that would otherwise
78: 3711: 6508: 6340: 5698: 5467: 5452: 5378: 5338: 4371: 4085: 3928: 3813: 2678: 2132: 2054: 1655: 1615: 1491: 1374: 571: 171: 82: 2402: 2173: 1319:. Their advantage lies in that they are significantly faster for some applications because of their 6477: 6325: 6303: 6277: 6162: 5781: 5764: 5749: 5744: 5479: 5384: 5372: 5193: 4857: 4380: 4238: 4090: 3946: 2963: 2437: 1694: 1663: 1423: 1351: 1248: 1243: 658: 556: 364: 182: 140: 31: 2415: 534:, depending on the programming of the third mux. In practice, the entire adder or parts of it are 6462: 6139: 5529: 5307: 5302: 5198: 5037: 4391: 4111: 3910: 2379: 2330: 2114: 997: 905:
is generated. The netlist can then be fit to the actual FPGA architecture using a process called
850: 812:
blocks that are capable of working with different clocks, aiding in the construction of building
374:, it becomes a challenge to verify correct timing of valid data within setup time and hold time. 158:
FPGAs are also commonly used during the development of ASICs to speed up the simulation process.
132: 128: 2917: 2012: 1906: 796:. These clock signals can be generated locally by an oscillator or they can be recovered from a 6357: 6352: 6080: 5966: 5623: 5420: 5007: 4981: 4560: 4127: 3992: 3968: 1801: 1777: 1627: 1036: 912: 414: 390: 2610: 135:
or more sophisticated blocks of memory. Many FPGAs can be reprogrammed to implement different
6498: 6442: 6434: 6287: 6269: 6261: 6090: 5981: 5971: 5791: 5635: 5589: 5457: 5355: 5292: 5188: 5157: 4797: 4629: 4581: 4402: 4218: 4133: 4064: 3900: 2359: 1809: 1686: 1678: 1674: 1578: 1460: 1362: 1339: 1298: 1235: 1231: 1127:
were the FPGA market leaders. At that time, they controlled nearly 90 percent of the market.
801: 586: 256:
Bing in 2014, and in 2018 began deploying FPGAs across other data center workloads for their
253: 3229: 3180:"FPGA development devices for radiation-hardened space applications introduced by Microsemi" 1978: 1666:
that lets one portion of the device be re-programmed while other portions continue running.
1447:
FPGAs play a crucial role in modern military communications, especially in systems like the
844:
Xilinx's approach stacks several (three or four) active FPGA dies side by side on a silicon
674:
In 2012 the coarse-grained architectural approach was taken a step further by combining the
475:
called configurable logic blocks (CLBs) or logic array blocks (LABs) (depending on vendor),
6563: 6467: 6390: 6374: 6154: 6101: 5986: 5880: 5786: 5561: 5556: 5539: 5259: 5173: 5056: 5002: 4849: 4703: 4447: 4342: 4116: 4009: 3863: 3824: 3755: 3747: 3355: 3088: 2552: 1682: 1612: 1475:
A Modular Open System Approach (MOSA) solution supporting over 50 data links and waveforms.
1385: 1366: 1260: 1131: 1040: 986: 974: 948: 925: 531: 386: 249: 220: 17: 3594: 1677:. A CPLD has a comparatively restrictive structure consisting of one or more programmable 8: 6515: 6199: 6055: 5776: 5754: 5608: 5551: 5390: 5312: 5287: 5251: 5115: 4838: 4423: 4331: 4223: 4059: 4036: 1767: 1726: 1706: 1417: 1398: 1308: 1252: 1154: 891: 761: 607: 539: 527: 507: 492: 112: 3359: 3092: 3075:
Nagornov, Nikolay N.; Lyakhov, Pavel A.; Valueva, Maria V.; Bergerman, Maxim V. (2022).
6525: 6493: 6282: 6075: 5991: 5628: 5613: 5494: 5332: 5297: 5142: 5132: 4728: 4588: 4296: 4263: 4079: 3963: 3941: 3676: 3595:"CPLD vs FPGA: Differences between them and which one to use? – Numato Lab Help Center" 3386: 3343: 3324: 3114: 1759: 1590: 1525:
Currently in development, recently completed a successful early operational assessment.
1456: 1324: 1320: 394: 245: 227: 74: 3480:
Proceedings of the international symposium on Field programmable gate arrays – FPGA'06
2073: 437:(FPAA), which carries analog values on its internal programmable interconnect fabric. 6422: 6369: 6006: 5848: 5584: 5210: 5071: 4723: 4644: 4535: 4487: 4316: 4243: 4205: 3639: 3620: 3491: 3451: 3391: 3373: 3344:"Reconfigurable Security Architecture (RESA) Based on PUF for FPGA-Based IoT Devices" 3118: 3106: 3057: 2993: 2869: 2795:"AMD closes record chip industry deal with estimated $ 50 billion purchase of Xilinx" 2342: 2243: 2216: 1984: 1828: 1739: 1710: 1573:
FPGAs that store their configuration internally in nonvolatile flash memory, such as
1535: 1347: 1073: 1021: 785: 781: 777: 598: 552: 535: 402: 144: 2733: 732:
devices incorporate an ARM Cortex-M3 hard processor core (with up to 512 kB of
6503: 6417: 6345: 5870: 5717: 5317: 4439: 4386: 4213: 3852: 3680: 3666: 3483: 3443: 3381: 3363: 3314: 3306: 3254: 3096: 3047: 2656: 1659: 1378: 1343: 1177: 825: 691: 683: 590: 511: 464: 430: 231: 136: 4248: 3328: 2781:"AMD to Acquire Xilinx, Creating the Industry's High Performance Computing Leader" 1685:. As a result, CPLDs are less flexible but have the advantage of more predictable 6537: 6532: 6109: 5507: 5502: 5484: 5447: 5442: 5367: 5327: 5147: 5100: 5090: 4716: 4649: 4502: 4233: 4143: 3987: 2495: 2298: 1961: 1954: 1859: 1772: 1714: 1702: 1166: 1158: 1025: 1013: 907: 876: 868: 703: 653:
are examples of popular softcore processors. Many modern FPGAs are programmed at
601:. These cores exist alongside the programmable fabric, but they are built out of 564: 483: 371: 260: 257: 151: 105: 3874: 3447: 3101: 3076: 3052: 3035: 2033: 6520: 6472: 6335: 6211: 5437: 5105: 4691: 4472: 4462: 4228: 4031: 3671: 3654: 3253:. International Conference on Field Programmable Logic and Applications. IEEE. 3248: 1651: 1551: 1494:, strengthening Harris' role as a full-spectrum communications system supplier. 1431: 1370: 679: 635: 579: 560: 500: 487: 271:
The following timelines indicate progress in different aspects of FPGA design.
44: 3700: 2212:
Synthesis of compositional microprogram control units for programmable devices
1566:
encryption (up to 256-bit) for bitstreams stored in an external flash memory.
6552: 6182: 6167: 6039: 5961: 5843: 5618: 5601: 5596: 4753: 4576: 4492: 4311: 4138: 4106: 3527:"Xilinx Announces World Largest FPGA: Virtex Ultrascale+ VU19P with 9m Cells" 3377: 3110: 3061: 2889: 2346: 1307:. This is trivially proven by the fact that FPGAs can be used to implement a 809: 714: 699: 429:(DACs) with analog signal conditioning blocks, allowing them to operate as a 398: 3487: 1516:
A small-form-factor, multiband, multi-mode SDR used in Afghanistan and Iraq.
6124: 6114: 5759: 4634: 4622: 4510: 4477: 4306: 4291: 3858: 3410:"EETimes on PUF: Security features for non-security experts – Intrinsic ID" 3395: 1722: 1586: 1452: 1274: 1187:
On June 1, 2015, Intel announced it would acquire Altera for approximately
1103: 1055: 793: 765: 749: 733: 725:
processor in combination with Atmel's programmable logic architecture. The
456: 418: 201: 1016:
engine has mapped the design to a netlist, the netlist is translated to a
622: 39: 5910: 5819: 5727: 4766: 4676: 4418: 4367: 4273: 4258: 4041: 4003: 3688: 3310: 1581:'s XP2 programmable devices, do not expose the bitstream and do not need 1028:
onto the netlist, and the simulation can be run again with these values.
993: 982: 797: 729: 675: 611: 594: 519: 476: 472: 446: 378:
helps resource allocation within FPGAs to meet these timing constraints.
241: 85: 6230: 3716: 3258: 3154: 1397:, a VHS tape archiver (only some versions of which were FPGA-based) and 1373:
including Microsoft's so-termed "Project Catapult" and for accelerating
6320: 6129: 6060: 6029: 5836: 5656: 5322: 5267: 4748: 4738: 4671: 4545: 4515: 4482: 4457: 4452: 4429: 4301: 4281: 4159: 4021: 3998: 3884: 3786: 3781: 3776: 3230:"While Intel XPUs are delayed, here's some more FPGAs to tide you over" 2634: 2210: 2161:
Gartner Dataquest Analyst Gives ASIC, FPGA Markets Clean Bill of Health
1955:
Xilinx unveil revolutionary 65nm FPGA architecture: the Virtex-5 family
1730: 1619: 1582: 1547: 1511: 1485: 1335: 1312: 1304: 1251:
provides extremely low-power SRAM-based FPGAs with optional integrated
1239: 1146: 1017: 1009: 916: 845: 773: 737: 646: 634:
An alternate approach to using hard macro processors is to make use of
602: 460: 410: 356: 196: 116: 5220: 3368: 3319: 2939:"QuickLogic — Customizable Semiconductor Solutions for Mobile Devices" 6452: 6194: 6189: 6172: 6134: 5941: 5814: 5667: 5282: 5137: 4711: 4555: 4550: 4540: 4467: 4347: 4181: 4176: 4101: 4026: 3617:
Applications of Field-Programmable Gate Arrays in Scientific Research
3531: 3442:. Lecture Notes in Computer Science. Vol. 7428. pp. 23–40. 1623: 1594: 1574: 1543: 1406: 1266: 1216:
Cologne Chip, German Government backed designer and producer of FPGAs
970: 886: 726: 722: 711: 382: 363:
can perform. The ability to update the functionality after shipping,
216: 881:
To define the behavior of the FPGA, the user provides a design in a
451: 6457: 6395: 6177: 6070: 6001: 5946: 5905: 5900: 5865: 5831: 5277: 5272: 4733: 4681: 4661: 4639: 4525: 4520: 4408: 4397: 4326: 4096: 1753: 1204: 1162: 1079: 1044: 824:
To shrink the size and power consumption of FPGAs, vendors such as
583: 124: 120: 1725:
to store their configuration while FPGAs usually require external
455:
Simplified example illustration of a logic cell (LUT –
370:
As FPGA designs employ very fast I/O rates and bidirectional data
6144: 6034: 5996: 5855: 5809: 4593: 4530: 4352: 4337: 4191: 4148: 3796: 3296: 3247:
Leber, Christian; Geib, Benjamin; Litz, Heiner (September 2011).
2763:"Intel to buy Altera for $ 16.7 billion in its biggest deal ever" 2133:"Field Programmable Gate Array Market To Reach $ 23.34Bn By 2030" 1827:. Switzerland: Springer International Publishing AG. p. 16. 1735: 1316: 1191:
16.7 billion and completed the acquisition on December 30, 2015.
1170: 1138: 1005: 952: 944: 902: 642: 111:
The logic blocks of an FPGA can be configured to perform complex
3659:
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
2964:"Xilinx Inc, Form 8-K, Current Report, Filing Date Apr 26, 2006" 2438:"Xilinx Inc, Form 10-K, Annual Report, Filing Date May 31, 2011" 2416:"Xilinx Inc, Form 8-K, Current Report, Filing Date Oct 19, 2011" 2074:"Xilinx UltraScale FPGA Offers 50 Million Equivalent ASIC Gates" 6362: 6206: 6065: 5885: 5769: 4946: 4934: 4916: 4904: 4832: 4820: 4666: 4357: 4321: 4286: 3846: 3818: 3791: 3766: 3074: 2574:"Battle Over the FPGA: VHDL vs Verilog! Who is the True Champ?" 1718: 1608:
to provide high levels of protection against physical attacks.
1601: 1559: 1555: 1435: 1213:, provides system-on-FPGA hardware-software design environment. 1210: 1207:, manufacturing SRAM based FPGAs with 1.5 GHz fabric speed 1150: 1124: 1116: 1097: 1059: 1032: 933: 829: 789: 769: 707: 687: 650: 627: 192: 177: 59: 48: 3342:
Babaei, Armin; Schiele, Gregor; Zohner, Michael (2022-07-26).
3032: 5976: 5956: 5915: 4898: 4892: 4886: 4880: 4806: 4743: 4654: 4413: 4186: 3979: 3841: 3836: 2938: 1980:
The Design Warrior's Guide to FPGAs: Devices, Tools and Flows
1825:
FPGA Design, Best Practices for Team Based Reuse, 2nd edition
1402: 1394: 1280: 1270: 1142: 1091: 837: 718: 499:. This is determined by estimates such as those derived from 186: 2034:"Microsoft Supercharges Bing Search With Programmable Chips" 1721:. Another common distinction is that CPLDs contain embedded 1327:
in terms of the number of gates used for certain processes.
1012:
to simulate the system and observe results. Then, after the
6119: 5826: 4874: 4868: 4862: 4686: 4069: 4015: 3916: 3869: 3807: 1729:(but not always). When a design requires simple instant-on 1520: 1238:
SRAM-based FPGAs featuring integrated configuration flash,
1197:
In February 2024 Altera became independent of Intel again.
1188: 1063: 1001: 940: 929: 686:. Examples of such hybrid technologies can be found in the 360: 97: 2750:
Tabula to shut down; 120 jobs lost at fabless chip company
1681:
logic arrays feeding a relatively small number of clocked
1538:. FPGAs' flexibility makes malicious modifications during 471:
The most common FPGA architecture consists of an array of
195:
produced the first commercially viable field-programmable
5951: 2215:. Zielona Góra: University of Zielona Góra. p. 153. 1120: 978: 955:
graphical programming language (sometimes referred to as
695: 662: 2813:"Intel Launches Altera, Its New Standalone FPGA Company" 2460:
Intel connection helped chip startup Tabula raise $ 108M
2430: 2109: 2107: 1693:
FPGA architectures, on the other hand, are dominated by
1346:(SoCs). Particularly with the introduction of dedicated 518:
those are combined into a 4-input LUT through the first
252:
advantage FPGAs deliver. Microsoft began using FPGAs to
3440:
Cryptographic Hardware and Embedded Systems – CHES 2012
2956: 2408: 3134:"How Microsoft Is Using FPGAs To Speed Up Bing Search" 3036:"Hardware Architectures for Real-Time Medical Imaging" 2099:
FPGA Market to Pass $ 2.7 Billion by '10, In-Stat Says
339:
is a new custom design for implementation on an FPGA.
3205:"CrypTech: Building Transparency into Cryptography t" 2805: 2727:"Tabula's Time Machine — Micro Processor Report" 2104: 1542:
a lower risk. Previously, for many FPGAs, the design
1384:
Traditionally, FPGAs have been reserved for specific
1130:
Both Xilinx (now AMD) and Altera (now Intel) provide
678:
and interconnects of traditional FPGAs with embedded
3464: 1749: 1473:
Rapidly Adaptable Standards-compliant Radio (RASOR):
3341: 514:. The LUT might be split into two 3-input LUTs. In 3155:"Gigabyte's i-RAM: Affordable Solid State Storage" 1442: 1303:An FPGA can be used to solve any problem which is 92:A FPGA configuration is generally written using a 3437: 1255:configuration memory; acquired by Lattice in 2011 1069:Rarer alternatives to the SRAM approach include: 816:and dual port buffers that bridge clock domains. 6550: 3615:Sadrozinski, Hartmut F.-W.; Wu, Jinyuan (2010). 1953:Clive Maxfield, Programmable Logic DesignLine, " 299:1985: First commercial FPGA : Xilinx XC2064 3636:Digital Circuit Design An Introduction Textbook 3250:High Frequency Trading Acceleration Using FPGAs 2049: 2047: 1658:costs. Vendors can also take a middle road via 1643:speed of corresponding ASIC implementations. 985:or similar license). Such designs are known as 283:1992: 600,000, Naval Surface Warfare Department 108:were formerly used to write the configuration. 30:"FPGA" redirects here. Not to be confused with 223:) was serving about 18 percent of the market. 6246: 5683: 5236: 4782: 3732: 3614: 3246: 3016:"Xilinx aims 65-nm FPGAs at DSP applications" 2309: 2163:". June 13, 2005. Retrieved February 5, 2009. 1622:, accessing unencrypted bitstream, modifying 1451:(JTRS) and in devices from companies such as 932:) or to an external memory device such as an 721:FPSLIC is another such device, which uses an 710:Arria V FPGA, which includes an 800 MHz 2838:"Achronix to Use Intel's 22nm Manufacturing" 2703:"FPGA Design Software - Intel Quartus Prime" 2498:." November 1, 2010. Retrieved May 12, 2011. 2478:." October 27, 2010. Retrieved May 12, 2011. 2476:FPGA manufacturer claims to beat Moore's Law 2149: 2101:". May 24, 2006. Retrieved February 5, 2009. 2044: 641:that are implemented within the FPGA logic. 27:Array of logic gates that are reprogrammable 3571:"Can FPGAs dynamically modify their logic?" 3473:"Measuring the gap between FPGAs and ASICs" 2650:"And the Winner of Best FPGA of 2016 is..." 2470: 2468: 1964:. May 15, 2006. Retrieved February 5, 2009. 1514:(Multiband Inter/Intra Team Radio - MBITR): 1354:(DSPs) began to incorporate FPGAs instead. 1076:: one-time programmable. Bipolar. Obsolete. 682:and related peripherals to form a complete 630:Zynq-7000 All Programmable System on a Chip 127:. In most FPGAs, logic blocks also include 6253: 6239: 5690: 5676: 5243: 5229: 5184:List of integrated circuit packaging types 4796: 4789: 4775: 3739: 3725: 2235: 2208: 1972: 1970: 1879: 1180:announced their FPGA technology that uses 706:within the FPGA's logic fabric, or in the 503:or by experiments with existing designs." 413:on input pins designed to be connected to 189:cells that held the device configuration. 6260: 3746: 3670: 3385: 3367: 3318: 3100: 3051: 2089: 2087: 2065: 1850: 1848: 1846: 1844: 1731:(logic is already configured at power-up) 1492:U.S. Air Force Electronic Systems Command 1330:FPGAs originally began as competitors to 3655:"An FPGA-Based Phase Measurement System" 3470: 3125: 2861: 2465: 2378:. Altera.com. 2008-06-11. Archived from 2312:"Mixed-signal FPGAs provide GREEN POWER" 1976: 772:, so they can be delivered with minimal 621: 570:Higher-end FPGAs can contain high-speed 450: 102:application-specific integrated circuits 53: 38: 5415:Application-specific integrated circuit 5250: 4841:(SOD-123 / SOD-323 / SOD-523 / SOD-923) 3524: 3013: 2647: 2462:." May 2, 2011. Retrieved May 13, 2011. 2166: 1967: 1822: 1637: 1604:introduced a Secure Device Manager and 760:Most of the logic inside of an FPGA is 14: 6551: 5697: 3132:Morgan, Timothy Pricket (2014-09-03). 3131: 2629: 2627: 2489:Xilinx adopts stacked-die 3D packaging 2328: 2084: 1936: 1934: 1932: 1930: 1928: 1926: 1841: 1796: 1794: 1792: 6234: 5671: 5224: 4770: 3720: 3652: 3633: 3575:Electrical Engineering Stack Exchange 3482:. New York, NY: ACM. pp. 21–30. 3290: 2507: 2329:M.b, Swami; V.p, Pawar (2014-07-31). 1802:"FPGA Architecture for the Challenge" 1691:a higher logic-to-interconnect ratio. 1361:Another trend in the use of FPGAs is 5350:Three-dimensional integrated circuit 4171:Three-dimensional integrated circuit 3227: 3197: 2071: 1947: 1901: 1899: 1600:With its Stratix 10 FPGAs and SoCs, 1277:FPGAs; acquired by Microchip in 2018 1273:), producing antifuse, flash-based, 836:. Following the introduction of its 563:, high-speed I/O logic and embedded 81:(PLDs). They consist of an array of 3952:Programmable unijunction transistor 3299:IEEE Design & Test of Computers 2865:The Design Warrior's Guide to FPGAs 2624: 2373:"Documentation: Stratix IV Devices" 1923: 1789: 1115:In 2016, long-time industry rivals 819: 800:. Care must be taken when building 24: 5362:Erasable programmable logic device 3853:Multi-gate field-effect transistor 3608: 3471:Kuon, Ian; Rose, Jonathan (2006). 2890:"About the company – Cologne Chip" 2405:(December 1st, 2008). Xilinx, Inc. 1738:" the FPGA as well as controlling 1671:complex programmable logic devices 1585:. In addition, flash memory for a 736:and 64 kB of RAM) and analog 486:requires much more routing than a 25: 6600: 6331:Hardware random number generation 5397:Complex programmable logic device 3831:Insulated-gate bipolar transistor 3694: 3273:"Software-defined radio and JTRS" 3014:LaPedus, Mark (5 February 2007). 2508:Saban, Kirk (December 11, 2012). 1896: 1626:silicon features, and extracting 1428:High-speed financial transactions 1352:digital signal processor hardware 661:or reconfigurable systems – 421:FPGAs have integrated peripheral 4075:Heterostructure barrier varactor 3802:Chemical field-effect transistor 3525:Cutress, Ian (August 27, 2019). 3217:from the original on 2016-08-07. 2986:"Publications and Presentations" 2862:Maxfield, Clive (16 June 2004). 2264:"FPGA Signal Integrity tutorial" 1919:from the original on 2011-01-07. 1773:CRUVI FPGA daughtercard standard 1752: 1669:The primary differences between 1110: 966:intellectual property (IP) cores 330: 166:The FPGA industry sprouted from 5409:Field-programmable object array 5345:Mixed-signal integrated circuit 4123:Mixed-signal integrated circuit 3587: 3563: 3539: 3518: 3431: 3402: 3335: 3265: 3240: 3221: 3172: 3147: 3068: 3026: 3007: 2978: 2931: 2902: 2882: 2855: 2830: 2787: 2773: 2755: 2752:Silicon Valley Business Journal 2743: 2719: 2695: 2671: 2648:Dillien, Paul (March 6, 2017). 2641: 2595: 2566: 2537: 2526:from the original on 2010-11-05 2501: 2481: 2474:Lawrence Latif, The Inquirer. " 2452: 2396: 2365: 2353: 2335:Journal of Intelligence Systems 2322: 2303: 2285: 2256: 2229: 2202: 2190:from the original on 2007-11-22 2125: 2026: 1443:Usage by United States Military 1292: 1039:. For further information, see 657:, which has led to the idea of 538:into the LUTs in order to save 440: 435:field-programmable analog array 100:, similar to the ones used for 6559:Field-programmable gate arrays 4823:(DO-7 / DO-26 / DO-35 / DO-41) 2458:Dean Takahashi, VentureBeat. " 2239:Digital Design and Fabrication 2209:Wisniewski, Remigiusz (2009). 1997: 1873: 1816: 1412:Other uses for FPGAs include: 1389:range of viable applications. 862: 669: 545: 407:voltage-controlled oscillators 355:Contemporary FPGAs have ample 326:2030 estimate: $ 23.34 billion 317:2010 estimates: $ 2.75 billion 293: 13: 1: 5535:Hardware description language 5403:Field-programmable gate array 2910:"Top FPGA Companies For 2013" 2331:"VLSI DESIGN: A NEW APPROACH" 2236:Oklobdzija, Vojin G. (2017). 2005:"Top FPGA Companies For 2013" 1944:" Retrieved January 15, 2009. 1783: 1606:physical unclonable functions 1568:Physical unclonable functions 1200:Other manufacturers include: 1157:) which enables engineers to 883:hardware description language 784:components to synthesize new 684:system on a programmable chip 305: 168:programmable read-only memory 94:hardware description language 67:field-programmable gate array 5213:in transistor packages, etc. 5179:Integrated circuit packaging 4154:Silicon controlled rectifier 4016:Organic light-emitting diode 3906:Diffused junction transistor 3416:. 2015-06-09. Archived from 2916:. 2013-04-28. Archived from 2609:. 2014-09-16. Archived from 2310:Mike Thompson (2007-07-02). 2011:. 2013-04-28. Archived from 1880:Ron Wilson (21 April 2015). 1699:electronic design automation 1650:, and often include shorter 1135:electronic design automation 899:electronic design automation 746:digital-to-analog converters 742:analog-to-digital converters 694:, which includes a 1.0  617: 427:digital-to-analog converters 423:analog-to-digital converters 323:2020 estimate: $ 9.8 billion 209:Naval Surface Warfare Center 73:) is a type of configurable 7: 5547:Formal equivalence checking 3958:Static induction transistor 3895:Bipolar junction transistor 3847:MOS field-effect transistor 3819:Fin field-effect transistor 3448:10.1007/978-3-642-33027-8_2 3228:Mann, Tobias (2023-03-08). 3102:10.1109/ACCESS.2022.3151361 3053:10.3390/electronics10243118 2844:(Press release). 2010-11-01 2545:"Intel Custom Foundry EMIB" 1745: 1529: 1466: 1449:Joint Tactical Radio System 921:verification and validation 873:Verification and validation 834:3D or stacked architectures 776:. FPGAs may contain analog 755: 587:medium access control units 10: 6605: 5567:Hierarchical state machine 5525:Transaction-level modeling 4165:Static induction thyristor 3712:Migrating from MCU to FPGA 3672:10.1109/TVLSI.2017.2758807 2603:"Why use OpenCL on FPGAs?" 2174:"Virtex-4 Family Overview" 1479:ASPEN Technology Platform: 1375:artificial neural networks 1296: 973:(typically released under 901:tool, a technology-mapped 866: 597:controllers, and external 572:multi-gigabit transceivers 444: 397:driver circuitry, on-chip 172:programmable logic devices 161: 150:FPGAs also have a role in 79:programmable logic devices 29: 6486: 6433: 6383: 6326:Digital signal processing 6296: 6268: 6153: 6100: 6089: 6048: 6022: 6015: 5934: 5800: 5705: 5644: 5577: 5493: 5468:Digital signal processing 5453:Logic in computer science 5430: 5379:Programmable logic device 5339:Hybrid integrated circuit 5258: 5207: 5166: 5114: 5083: 5046: 4990: 4974: 4848: 4804: 4702: 4602: 4569: 4501: 4438: 4366: 4334:(Hexode, Heptode, Octode) 4272: 4204: 4086:Hybrid integrated circuit 4050: 3978: 3929:Light-emitting transistor 3883: 3765: 3754: 3184:www.militaryaerospace.com 2679:"Xilinx ISE Design Suite" 2360:2. CycloneII Architecture 2292:NASA: FPGA drive strength 2137:www.grandviewresearch.com 1656:non-recurring engineering 1523:Cluster 2 Handheld Radio: 1498: 1424:Hardware security modules 1008:is simulated by creating 939:The most common HDLs are 350: 280:1987: 9,000 gates, Xilinx 266: 6278:Universal Turing machine 5782:Circuit underutilization 5765:Reconfigurable computing 5480:Switching circuit theory 5385:Programmable Array Logic 5373:Programmable logic array 5194:Surface-mount technology 4381:Backward-wave oscillator 4091:Light emitting capacitor 3947:Point-contact transistor 3917:Junction Gate FET (JFET) 3619:. Taylor & Francis. 2945:. QuickLogic Corporation 2403:Virtex-4 FPGA User Guide 1977:Maxfield, Clive (2004). 1719:serializer/deserializers 1664:partial re-configuration 1249:SiliconBlue Technologies 740:such as a multi-channel 659:reconfigurable computing 365:partial re-configuration 289:2013: 50 million, Xilinx 274: 141:reconfigurable computing 32:Flip-chip pin grid array 6336:Artificial intelligence 5530:Register-transfer level 5199:Through-hole technology 4392:Crossed-field amplifier 3911:Field-effect transistor 3634:Wirth, Niklaus (1995). 3488:10.1145/1117201.1117205 2362:. Altera. February 2007 1983:. Elsevier. p. 4. 1823:Simpson, P. A. (2015). 495:(LUTs) and I/Os can be 113:combinational functions 6579:OpenCL compute devices 6358:Custom hardware attack 5421:Tensor Processing Unit 4829:(MELF / SOD-80 / LL34) 4798:Semiconductor packages 4561:Voltage-regulator tube 4128:MOS integrated circuit 3993:Constant-current diode 3969:Unijunction transistor 1778:List of HDL simulators 1673:(CPLDs) and FPGAs are 1340:printed circuit boards 1050:Most FPGAs rely on an 1037:C programming language 631: 468: 415:differential signaling 131:, which may be simple 62: 51: 6589:Hardware acceleration 6574:Semiconductor devices 6288:Distributed computing 6262:Hardware acceleration 5792:Hardware acceleration 5636:Electronic literature 5590:Hardware acceleration 5458:Computer architecture 5356:Emitter-coupled logic 5293:Printed circuit board 5189:Printed circuit board 4630:Electrolytic detector 4403:Inductive output tube 4219:Low-dropout regulator 4134:Organic semiconductor 4065:Printed circuit board 3901:Darlington transistor 3748:Electronic components 3653:Mitra, Jubin (2018). 2119:grandviewresearch.com 1810:University of Toronto 1461:L3Harris Technologies 1386:vertical applications 1363:hardware acceleration 1311:, such as the Xilinx 1299:Hardware acceleration 1232:Lattice Semiconductor 977:licenses such as the 867:Further information: 808:. Some FPGAs contain 802:clock domain crossing 762:synchronous circuitry 625: 454: 286:Early 2000s: millions 57: 42: 6391:High-level synthesis 5987:Microchip Technology 5787:High-level synthesis 5562:Finite-state machine 5540:High-level synthesis 5475:Circuit minimization 5174:Electronic packaging 4448:Beam deflection tube 4117:Metal oxide varistor 4010:Light-emitting diode 3864:Thin-film transistor 3825:Floating-gate MOSFET 3311:10.1109/MDT.2008.166 2868:. Elsevier Science. 1638:Similar technologies 1505:SYNAPS Radio Family: 1226:GOWIN Semiconductors 1041:high-level synthesis 987:open-source hardware 975:free and open source 949:National Instruments 752:-based FPGA fabric. 250:performance per watt 139:, allowing flexible 58:A Spartan FPGA from 6584:American inventions 6569:Integrated circuits 6516:Digital electronics 6468:In-memory computing 6448:Transport triggered 6056:Intel Quartus Prime 5777:Soft microprocessor 5609:Digital photography 5391:Generic Array Logic 5313:Combinational logic 5288:Printed electronics 5252:Digital electronics 4424:Traveling-wave tube 4224:Switching regulator 4060:Printed electronics 4037:Step recovery diode 3814:Depletion-load NMOS 3360:2022Senso..22.5577B 3259:10.1109/FPL.2011.64 3093:2022IEEEA..1019215N 1940:Funding Universe. " 1768:FPGA Mezzanine Card 1727:non-volatile memory 1434:(e.g. the MARS and 1418:radiation hardening 1399:Gigabyte Technology 1309:soft microprocessor 804:circuitry to avoid 608:signal conditioning 561:embedded processors 536:stored as functions 463:, DFF – 320:2013: $ 5.4 billion 314:2005: $ 1.9 billion 311:: >$ 385 million 244:that operate their 115:, or act as simple 6526:Hardware emulation 6494:Programmable logic 6283:Parallel computing 5699:Programmable logic 5557:Asynchronous logic 5333:Integrated circuit 5298:Electronic circuit 5211:voltage regulators 4729:Crystal oscillator 4589:Variable capacitor 4264:Switched capacitor 4206:Voltage regulators 4080:Integrated circuit 3964:Tetrode transistor 3942:Pentode transistor 3935:Organic LET (OLET) 3922:Organic FET (OFET) 3277:Military Aerospace 2943:www.quicklogic.com 2659:on January 5, 2019 2494:2011-02-19 at the 2316:Design & Reuse 2297:2010-12-05 at the 2059:Microsoft Research 2055:"Project Catapult" 1960:2009-12-25 at the 1882:"In the Beginning" 1856:"History of FPGAs" 1760:Electronics portal 1703:embedded functions 1591:single event upset 1457:Harris Corporation 1173:) their designs. 632: 599:memory controllers 469: 459:, FA – 403:phase-locked loops 395:crystal oscillator 302:1987: $ 14 million 246:Bing search engine 228:telecommunications 75:integrated circuit 63: 52: 6546: 6545: 6423:Network on a chip 6228: 6227: 6224: 6223: 6220: 6219: 6007:Texas Instruments 5665: 5664: 5614:Digital telephone 5585:Computer hardware 5552:Synchronous logic 5218: 5217: 4967:(Super-247) (SMT) 4961:(Super-220) (SMT) 4835:(SMA / SMB / SMC) 4764: 4763: 4724:Ceramic resonator 4536:Mercury-arc valve 4488:Video camera tube 4440:Cathode-ray tubes 4200: 4199: 3808:Complementary MOS 3665:. IEEE: 133–142. 3645:978-3-540-58577-0 3626:978-1-4398-4133-4 3457:978-3-642-33026-1 3369:10.3390/s22155577 3136:. Enterprise Tech 2966:. secdatabase.com 2635:"All about FPGAs" 2440:. secdatabase.com 2418:. secdatabase.com 2222:978-83-7481-293-1 2009:sourcetech411.com 1990:978-0-7506-7604-5 1862:on April 12, 2007 1834:978-3-319-17924-7 1536:hardware security 1022:propagation delay 892:component modules 786:clock frequencies 782:delay-locked loop 778:phase-locked loop 702:MPCore processor 551:of these include 145:computer software 16:(Redirected from 6596: 6538:Embedded systems 6418:System on a chip 6255: 6248: 6241: 6232: 6231: 6098: 6097: 6020: 6019: 5692: 5685: 5678: 5669: 5668: 5318:Sequential logic 5245: 5238: 5231: 5222: 5221: 4791: 4784: 4777: 4768: 4767: 4618:electrical power 4503:Gas-filled tubes 4387:Cavity magnetron 4214:Linear regulator 3763: 3762: 3741: 3734: 3727: 3718: 3717: 3703: 3702:What is an FPGA? 3684: 3674: 3649: 3630: 3603: 3602: 3591: 3585: 3584: 3582: 3581: 3567: 3561: 3560: 3558: 3557: 3543: 3537: 3536: 3522: 3516: 3515: 3513: 3512: 3506: 3500:. Archived from 3477: 3468: 3462: 3461: 3435: 3429: 3428: 3426: 3425: 3406: 3400: 3399: 3389: 3371: 3339: 3333: 3332: 3322: 3294: 3288: 3287: 3285: 3284: 3269: 3263: 3262: 3244: 3238: 3237: 3225: 3219: 3218: 3216: 3209: 3201: 3195: 3194: 3192: 3191: 3176: 3170: 3169: 3167: 3166: 3151: 3145: 3144: 3142: 3141: 3129: 3123: 3122: 3104: 3072: 3066: 3065: 3055: 3030: 3024: 3023: 3011: 3005: 3004: 3002: 3001: 2992:. Archived from 2982: 2976: 2975: 2973: 2971: 2960: 2954: 2953: 2951: 2950: 2935: 2929: 2928: 2926: 2925: 2906: 2900: 2899: 2897: 2896: 2886: 2880: 2879: 2859: 2853: 2852: 2850: 2849: 2834: 2828: 2827: 2825: 2824: 2809: 2803: 2802: 2801:. February 2022. 2791: 2785: 2784: 2777: 2771: 2770: 2759: 2753: 2747: 2741: 2740: 2738: 2732:. Archived from 2731: 2723: 2717: 2716: 2714: 2713: 2699: 2693: 2692: 2690: 2689: 2675: 2669: 2668: 2666: 2664: 2655:. Archived from 2645: 2639: 2638: 2637:. 21 March 2006. 2631: 2622: 2621: 2619: 2618: 2599: 2593: 2592: 2590: 2589: 2580:. Archived from 2570: 2564: 2563: 2561: 2560: 2551:. Archived from 2541: 2535: 2534: 2532: 2531: 2525: 2514: 2505: 2499: 2485: 2479: 2472: 2463: 2456: 2450: 2449: 2447: 2445: 2434: 2428: 2427: 2425: 2423: 2412: 2406: 2400: 2394: 2393: 2391: 2390: 2384: 2377: 2369: 2363: 2357: 2351: 2350: 2326: 2320: 2319: 2307: 2301: 2289: 2283: 2282: 2280: 2279: 2270:. Archived from 2260: 2254: 2253: 2233: 2227: 2226: 2206: 2200: 2199: 2197: 2195: 2189: 2178: 2170: 2164: 2153: 2147: 2146: 2144: 2143: 2129: 2123: 2122: 2111: 2102: 2091: 2082: 2081: 2069: 2063: 2062: 2051: 2042: 2041: 2030: 2024: 2023: 2021: 2020: 2001: 1995: 1994: 1974: 1965: 1951: 1945: 1938: 1921: 1920: 1918: 1911: 1907:"XCELL issue 32" 1903: 1894: 1893: 1888:. Archived from 1877: 1871: 1870: 1868: 1867: 1858:. Archived from 1852: 1839: 1838: 1820: 1814: 1813: 1798: 1762: 1757: 1756: 1692: 1660:FPGA prototyping 1577:'s ProAsic 3 or 1379:machine learning 1344:systems on chips 1182:time-multiplexed 926:serial interface 832:have introduced 820:3D architectures 764:that requires a 692:Programmable SoC 512:D-type flip-flop 465:D-type flip-flop 431:system on a chip 417:channels. A few 310: 307: 143:as performed in 106:Circuit diagrams 21: 6604: 6603: 6599: 6598: 6597: 6595: 6594: 6593: 6549: 6548: 6547: 6542: 6533:Logic synthesis 6482: 6429: 6384:Implementations 6379: 6292: 6264: 6259: 6229: 6216: 6149: 6092: 6085: 6044: 6011: 5930: 5796: 5701: 5696: 5666: 5661: 5640: 5573: 5508:Place and route 5503:Logic synthesis 5489: 5485:Gate equivalent 5448:Logic synthesis 5443:Boolean algebra 5426: 5368:Macrocell array 5328:Boolean circuit 5254: 5249: 5219: 5214: 5203: 5162: 5110: 5079: 5042: 4986: 4970: 4844: 4800: 4795: 4765: 4760: 4698: 4613:audio and video 4598: 4565: 4497: 4434: 4362: 4343:Photomultiplier 4268: 4196: 4144:Quantum circuit 4052: 4046: 3988:Avalanche diode 3974: 3886: 3879: 3768: 3757: 3750: 3745: 3701: 3697: 3689:10.1145/3410669 3646: 3627: 3611: 3609:Further reading 3606: 3593: 3592: 3588: 3579: 3577: 3569: 3568: 3564: 3555: 3553: 3545: 3544: 3540: 3523: 3519: 3510: 3508: 3504: 3498: 3475: 3469: 3465: 3458: 3436: 3432: 3423: 3421: 3408: 3407: 3403: 3340: 3336: 3295: 3291: 3282: 3280: 3271: 3270: 3266: 3245: 3241: 3226: 3222: 3214: 3207: 3203: 3202: 3198: 3189: 3187: 3178: 3177: 3173: 3164: 3162: 3153: 3152: 3148: 3139: 3137: 3130: 3126: 3087:: 19215–19231. 3073: 3069: 3031: 3027: 3012: 3008: 2999: 2997: 2984: 2983: 2979: 2969: 2967: 2962: 2961: 2957: 2948: 2946: 2937: 2936: 2932: 2923: 2921: 2908: 2907: 2903: 2894: 2892: 2888: 2887: 2883: 2876: 2860: 2856: 2847: 2845: 2836: 2835: 2831: 2822: 2820: 2819:(Press release) 2811: 2810: 2806: 2793: 2792: 2788: 2783:. October 2020. 2779: 2778: 2774: 2761: 2760: 2756: 2748: 2744: 2736: 2729: 2725: 2724: 2720: 2711: 2709: 2701: 2700: 2696: 2687: 2685: 2677: 2676: 2672: 2662: 2660: 2646: 2642: 2633: 2632: 2625: 2616: 2614: 2607:StreamComputing 2601: 2600: 2596: 2587: 2585: 2578:digilentinc.com 2572: 2571: 2567: 2558: 2556: 2543: 2542: 2538: 2529: 2527: 2523: 2512: 2506: 2502: 2496:Wayback Machine 2486: 2482: 2473: 2466: 2457: 2453: 2443: 2441: 2436: 2435: 2431: 2421: 2419: 2414: 2413: 2409: 2401: 2397: 2388: 2386: 2382: 2375: 2371: 2370: 2366: 2358: 2354: 2327: 2323: 2308: 2304: 2299:Wayback Machine 2290: 2286: 2277: 2275: 2262: 2261: 2257: 2250: 2234: 2230: 2223: 2207: 2203: 2193: 2191: 2187: 2176: 2172: 2171: 2167: 2155:Dylan McGrath, 2154: 2150: 2141: 2139: 2131: 2130: 2126: 2113: 2112: 2105: 2093:Dylan McGrath, 2092: 2085: 2078:www.eetimes.com 2072:Maxfield, Max. 2070: 2066: 2053: 2052: 2045: 2040:. 16 June 2014. 2032: 2031: 2027: 2018: 2016: 2003: 2002: 1998: 1991: 1975: 1968: 1962:Wayback Machine 1952: 1948: 1939: 1924: 1916: 1909: 1905: 1904: 1897: 1878: 1874: 1865: 1863: 1854: 1853: 1842: 1835: 1821: 1817: 1800: 1799: 1790: 1786: 1758: 1751: 1748: 1690: 1679:sum-of-products 1640: 1554:. For example, 1532: 1501: 1469: 1445: 1371:AI accelerators 1321:parallel nature 1301: 1295: 1244:reconfiguration 1176:In March 2010, 1113: 1031:More recently, 1000:description in 913:timing analysis 908:place and route 879: 877:Place and route 869:Logic synthesis 865: 822: 758: 680:microprocessors 672: 620: 580:processor cores 548: 484:crossbar switch 449: 443: 353: 333: 308: 296: 277: 269: 261:cloud computing 164: 152:embedded system 137:logic functions 129:memory elements 35: 28: 23: 22: 15: 12: 11: 5: 6602: 6592: 6591: 6586: 6581: 6576: 6571: 6566: 6561: 6544: 6543: 6541: 6540: 6535: 6530: 6529: 6528: 6521:Virtualization 6518: 6513: 6512: 6511: 6506: 6496: 6490: 6488: 6484: 6483: 6481: 6480: 6475: 6473:Systolic array 6470: 6465: 6460: 6455: 6450: 6445: 6439: 6437: 6431: 6430: 6428: 6427: 6426: 6425: 6415: 6410: 6405: 6400: 6399: 6398: 6387: 6385: 6381: 6380: 6378: 6377: 6372: 6367: 6366: 6365: 6355: 6353:Machine vision 6350: 6349: 6348: 6338: 6333: 6328: 6323: 6318: 6317: 6316: 6311: 6300: 6298: 6294: 6293: 6291: 6290: 6285: 6280: 6274: 6272: 6266: 6265: 6258: 6257: 6250: 6243: 6235: 6226: 6225: 6222: 6221: 6218: 6217: 6215: 6214: 6209: 6204: 6203: 6202: 6197: 6187: 6186: 6185: 6175: 6170: 6165: 6159: 6157: 6151: 6150: 6148: 6147: 6142: 6137: 6132: 6127: 6122: 6117: 6112: 6106: 6104: 6095: 6087: 6086: 6084: 6083: 6078: 6073: 6068: 6063: 6058: 6052: 6050: 6046: 6045: 6043: 6042: 6037: 6032: 6026: 6024: 6017: 6013: 6012: 6010: 6009: 6004: 5999: 5994: 5989: 5984: 5979: 5974: 5969: 5964: 5959: 5954: 5949: 5944: 5938: 5936: 5932: 5931: 5929: 5928: 5923: 5918: 5913: 5908: 5903: 5898: 5893: 5888: 5883: 5878: 5873: 5868: 5863: 5858: 5853: 5852: 5851: 5841: 5840: 5839: 5834: 5824: 5823: 5822: 5817: 5806: 5804: 5798: 5797: 5795: 5794: 5789: 5784: 5779: 5774: 5773: 5772: 5762: 5757: 5752: 5747: 5742: 5737: 5732: 5731: 5730: 5720: 5715: 5709: 5707: 5703: 5702: 5695: 5694: 5687: 5680: 5672: 5663: 5662: 5660: 5659: 5654: 5648: 5646: 5642: 5641: 5639: 5638: 5633: 5632: 5631: 5626: 5624:cinematography 5616: 5611: 5606: 5605: 5604: 5594: 5593: 5592: 5581: 5579: 5575: 5574: 5572: 5571: 5570: 5569: 5559: 5554: 5549: 5544: 5543: 5542: 5537: 5527: 5522: 5521: 5520: 5515: 5505: 5499: 5497: 5491: 5490: 5488: 5487: 5482: 5477: 5472: 5471: 5470: 5463:Digital signal 5460: 5455: 5450: 5445: 5440: 5438:Digital signal 5434: 5432: 5428: 5427: 5425: 5424: 5418: 5412: 5406: 5400: 5394: 5388: 5382: 5376: 5370: 5365: 5359: 5353: 5347: 5342: 5336: 5330: 5325: 5320: 5315: 5310: 5305: 5300: 5295: 5290: 5285: 5280: 5275: 5270: 5264: 5262: 5256: 5255: 5248: 5247: 5240: 5233: 5225: 5216: 5215: 5208: 5205: 5204: 5202: 5201: 5196: 5191: 5186: 5181: 5176: 5170: 5168: 5167:Related topics 5164: 5163: 5161: 5160: 5155: 5150: 5145: 5140: 5135: 5130: 5127: 5124: 5120: 5118: 5112: 5111: 5109: 5108: 5103: 5098: 5093: 5087: 5085: 5081: 5080: 5078: 5077: 5074: 5069: 5064: 5059: 5054: 5050: 5048: 5044: 5043: 5041: 5040: 5035: 5033:TSSOP / HTSSOP 5030: 5025: 5020: 5015: 5010: 5005: 5000: 4994: 4992: 4988: 4987: 4985: 4984: 4978: 4976: 4972: 4971: 4969: 4968: 4962: 4956: 4950: 4944: 4938: 4932: 4926: 4920: 4914: 4908: 4902: 4896: 4890: 4884: 4878: 4872: 4866: 4860: 4854: 4852: 4846: 4845: 4843: 4842: 4836: 4830: 4824: 4818: 4811: 4809: 4802: 4801: 4794: 4793: 4786: 4779: 4771: 4762: 4761: 4759: 4758: 4757: 4756: 4751: 4741: 4736: 4731: 4726: 4721: 4720: 4719: 4708: 4706: 4700: 4699: 4697: 4696: 4695: 4694: 4692:Wollaston wire 4684: 4679: 4674: 4669: 4664: 4659: 4658: 4657: 4652: 4642: 4637: 4632: 4627: 4626: 4625: 4620: 4615: 4606: 4604: 4600: 4599: 4597: 4596: 4591: 4586: 4585: 4584: 4573: 4571: 4567: 4566: 4564: 4563: 4558: 4553: 4548: 4543: 4538: 4533: 4528: 4523: 4518: 4513: 4507: 4505: 4499: 4498: 4496: 4495: 4490: 4485: 4480: 4475: 4473:Selectron tube 4470: 4465: 4463:Magic eye tube 4460: 4455: 4450: 4444: 4442: 4436: 4435: 4433: 4432: 4427: 4421: 4416: 4411: 4406: 4400: 4395: 4389: 4384: 4377: 4375: 4364: 4363: 4361: 4360: 4355: 4350: 4345: 4340: 4335: 4329: 4324: 4319: 4314: 4309: 4304: 4299: 4294: 4289: 4284: 4278: 4276: 4270: 4269: 4267: 4266: 4261: 4256: 4251: 4246: 4241: 4236: 4231: 4226: 4221: 4216: 4210: 4208: 4202: 4201: 4198: 4197: 4195: 4194: 4189: 4184: 4179: 4174: 4168: 4162: 4157: 4151: 4146: 4141: 4136: 4131: 4125: 4120: 4114: 4109: 4104: 4099: 4094: 4088: 4083: 4077: 4072: 4067: 4062: 4056: 4054: 4048: 4047: 4045: 4044: 4039: 4034: 4032:Schottky diode 4029: 4024: 4019: 4013: 4007: 4001: 3996: 3990: 3984: 3982: 3976: 3975: 3973: 3972: 3966: 3961: 3955: 3949: 3944: 3939: 3938: 3937: 3926: 3925: 3924: 3919: 3908: 3903: 3898: 3891: 3889: 3881: 3880: 3878: 3877: 3872: 3867: 3861: 3856: 3850: 3844: 3839: 3834: 3828: 3822: 3816: 3811: 3805: 3799: 3794: 3789: 3784: 3779: 3773: 3771: 3760: 3752: 3751: 3744: 3743: 3736: 3729: 3721: 3715: 3714: 3709: 3696: 3695:External links 3693: 3692: 3691: 3685: 3650: 3644: 3631: 3625: 3610: 3607: 3605: 3604: 3586: 3562: 3538: 3517: 3496: 3463: 3456: 3430: 3401: 3334: 3305:(6): 590–598. 3289: 3264: 3239: 3220: 3196: 3171: 3146: 3124: 3067: 3025: 3006: 2977: 2955: 2930: 2901: 2881: 2874: 2854: 2842:Intel Newsroom 2829: 2804: 2786: 2772: 2754: 2742: 2739:on 2011-04-10. 2718: 2694: 2683:www.xilinx.com 2670: 2640: 2623: 2594: 2565: 2536: 2500: 2480: 2464: 2451: 2429: 2407: 2395: 2364: 2352: 2321: 2302: 2284: 2255: 2248: 2228: 2221: 2201: 2165: 2148: 2124: 2103: 2083: 2064: 2043: 2025: 1996: 1989: 1966: 1946: 1922: 1895: 1892:on 2015-04-21. 1872: 1840: 1833: 1815: 1787: 1785: 1782: 1781: 1780: 1775: 1770: 1764: 1763: 1747: 1744: 1652:time to market 1639: 1636: 1552:authentication 1531: 1528: 1527: 1526: 1517: 1508: 1500: 1497: 1496: 1495: 1490:Supported the 1486:AN/PRC-117F(C) 1482: 1476: 1468: 1465: 1444: 1441: 1440: 1439: 1438:FPGA projects) 1432:Retrocomputing 1429: 1426: 1421: 1405:budget pseudo- 1381:applications. 1294: 1291: 1290: 1289: 1286: 1285: 1284: 1278: 1258: 1257: 1256: 1229: 1223: 1217: 1214: 1208: 1112: 1109: 1108: 1107: 1101: 1095: 1089: 1083: 1077: 1026:back-annotated 1024:values can be 885:(HDL) or as a 864: 861: 821: 818: 757: 754: 690:Zynq-7000 all 671: 668: 636:soft processor 619: 616: 547: 544: 488:systolic array 445:Main article: 442: 439: 405:with embedded 399:RC oscillators 376:Floor planning 352: 349: 348: 347: 344: 332: 329: 328: 327: 324: 321: 318: 315: 312: 303: 300: 295: 292: 291: 290: 287: 284: 281: 276: 273: 268: 265: 248:), due to the 163: 160: 26: 9: 6: 4: 3: 2: 6601: 6590: 6587: 6585: 6582: 6580: 6577: 6575: 6572: 6570: 6567: 6565: 6562: 6560: 6557: 6556: 6554: 6539: 6536: 6534: 6531: 6527: 6524: 6523: 6522: 6519: 6517: 6514: 6510: 6507: 6505: 6502: 6501: 6500: 6497: 6495: 6492: 6491: 6489: 6485: 6479: 6476: 6474: 6471: 6469: 6466: 6464: 6463:Heterogeneous 6461: 6459: 6456: 6454: 6451: 6449: 6446: 6444: 6441: 6440: 6438: 6436: 6435:Architectures 6432: 6424: 6421: 6420: 6419: 6416: 6414: 6411: 6409: 6406: 6404: 6401: 6397: 6394: 6393: 6392: 6389: 6388: 6386: 6382: 6376: 6373: 6371: 6368: 6364: 6361: 6360: 6359: 6356: 6354: 6351: 6347: 6344: 6343: 6342: 6339: 6337: 6334: 6332: 6329: 6327: 6324: 6322: 6319: 6315: 6312: 6310: 6307: 6306: 6305: 6302: 6301: 6299: 6295: 6289: 6286: 6284: 6281: 6279: 6276: 6275: 6273: 6271: 6267: 6263: 6256: 6251: 6249: 6244: 6242: 6237: 6236: 6233: 6213: 6210: 6208: 6205: 6201: 6198: 6196: 6193: 6192: 6191: 6188: 6184: 6181: 6180: 6179: 6176: 6174: 6171: 6169: 6168:LatticeMico32 6166: 6164: 6161: 6160: 6158: 6156: 6152: 6146: 6143: 6141: 6138: 6136: 6133: 6131: 6128: 6126: 6123: 6121: 6118: 6116: 6113: 6111: 6108: 6107: 6105: 6103: 6099: 6096: 6094: 6088: 6082: 6079: 6077: 6074: 6072: 6069: 6067: 6064: 6062: 6059: 6057: 6054: 6053: 6051: 6047: 6041: 6038: 6036: 6033: 6031: 6028: 6027: 6025: 6021: 6018: 6014: 6008: 6005: 6003: 6000: 5998: 5995: 5993: 5990: 5988: 5985: 5983: 5980: 5978: 5975: 5973: 5970: 5968: 5965: 5963: 5960: 5958: 5955: 5953: 5950: 5948: 5945: 5943: 5940: 5939: 5937: 5933: 5927: 5924: 5922: 5919: 5917: 5914: 5912: 5909: 5907: 5904: 5902: 5899: 5897: 5894: 5892: 5889: 5887: 5884: 5882: 5879: 5877: 5874: 5872: 5869: 5867: 5864: 5862: 5859: 5857: 5854: 5850: 5847: 5846: 5845: 5844:SystemVerilog 5842: 5838: 5835: 5833: 5830: 5829: 5828: 5825: 5821: 5818: 5816: 5813: 5812: 5811: 5808: 5807: 5805: 5803: 5799: 5793: 5790: 5788: 5785: 5783: 5780: 5778: 5775: 5771: 5768: 5767: 5766: 5763: 5761: 5758: 5756: 5753: 5751: 5748: 5746: 5743: 5741: 5738: 5736: 5733: 5729: 5726: 5725: 5724: 5721: 5719: 5716: 5714: 5711: 5710: 5708: 5704: 5700: 5693: 5688: 5686: 5681: 5679: 5674: 5673: 5670: 5658: 5655: 5653: 5652:Metastability 5650: 5649: 5647: 5645:Design issues 5643: 5637: 5634: 5630: 5627: 5625: 5622: 5621: 5620: 5619:Digital video 5617: 5615: 5612: 5610: 5607: 5603: 5600: 5599: 5598: 5597:Digital audio 5595: 5591: 5588: 5587: 5586: 5583: 5582: 5580: 5576: 5568: 5565: 5564: 5563: 5560: 5558: 5555: 5553: 5550: 5548: 5545: 5541: 5538: 5536: 5533: 5532: 5531: 5528: 5526: 5523: 5519: 5516: 5514: 5511: 5510: 5509: 5506: 5504: 5501: 5500: 5498: 5496: 5492: 5486: 5483: 5481: 5478: 5476: 5473: 5469: 5466: 5465: 5464: 5461: 5459: 5456: 5454: 5451: 5449: 5446: 5444: 5441: 5439: 5436: 5435: 5433: 5429: 5422: 5419: 5416: 5413: 5410: 5407: 5404: 5401: 5398: 5395: 5392: 5389: 5386: 5383: 5380: 5377: 5374: 5371: 5369: 5366: 5363: 5360: 5357: 5354: 5351: 5348: 5346: 5343: 5340: 5337: 5334: 5331: 5329: 5326: 5324: 5321: 5319: 5316: 5314: 5311: 5309: 5306: 5304: 5301: 5299: 5296: 5294: 5291: 5289: 5286: 5284: 5281: 5279: 5276: 5274: 5271: 5269: 5266: 5265: 5263: 5261: 5257: 5253: 5246: 5241: 5239: 5234: 5232: 5227: 5226: 5223: 5212: 5206: 5200: 5197: 5195: 5192: 5190: 5187: 5185: 5182: 5180: 5177: 5175: 5172: 5171: 5169: 5165: 5159: 5156: 5154: 5151: 5149: 5146: 5144: 5141: 5139: 5136: 5134: 5131: 5128: 5125: 5122: 5121: 5119: 5117: 5113: 5107: 5104: 5102: 5099: 5097: 5094: 5092: 5089: 5088: 5086: 5082: 5075: 5073: 5070: 5068: 5065: 5063: 5060: 5058: 5055: 5052: 5051: 5049: 5045: 5039: 5036: 5034: 5031: 5029: 5026: 5024: 5021: 5019: 5016: 5014: 5011: 5009: 5006: 5004: 5001: 4999: 4996: 4995: 4993: 4989: 4983: 4980: 4979: 4977: 4973: 4966: 4963: 4960: 4957: 4955:(D3PAK) (SMT) 4954: 4951: 4949:(D2PAK) (SMT) 4948: 4945: 4943:(I2PAK) (SMT) 4942: 4939: 4936: 4933: 4930: 4927: 4924: 4921: 4918: 4915: 4912: 4909: 4906: 4903: 4900: 4897: 4894: 4891: 4888: 4885: 4882: 4879: 4876: 4873: 4870: 4867: 4864: 4861: 4859: 4856: 4855: 4853: 4851: 4847: 4840: 4837: 4834: 4831: 4828: 4825: 4822: 4819: 4816: 4813: 4812: 4810: 4808: 4803: 4799: 4792: 4787: 4785: 4780: 4778: 4773: 4772: 4769: 4755: 4754:mercury relay 4752: 4750: 4747: 4746: 4745: 4742: 4740: 4737: 4735: 4732: 4730: 4727: 4725: 4722: 4718: 4715: 4714: 4713: 4710: 4709: 4707: 4705: 4701: 4693: 4690: 4689: 4688: 4685: 4683: 4680: 4678: 4675: 4673: 4670: 4668: 4665: 4663: 4660: 4656: 4653: 4651: 4648: 4647: 4646: 4643: 4641: 4638: 4636: 4633: 4631: 4628: 4624: 4621: 4619: 4616: 4614: 4611: 4610: 4608: 4607: 4605: 4601: 4595: 4592: 4590: 4587: 4583: 4580: 4579: 4578: 4577:Potentiometer 4575: 4574: 4572: 4568: 4562: 4559: 4557: 4554: 4552: 4549: 4547: 4544: 4542: 4539: 4537: 4534: 4532: 4529: 4527: 4524: 4522: 4519: 4517: 4514: 4512: 4509: 4508: 4506: 4504: 4500: 4494: 4493:Williams tube 4491: 4489: 4486: 4484: 4481: 4479: 4476: 4474: 4471: 4469: 4466: 4464: 4461: 4459: 4456: 4454: 4451: 4449: 4446: 4445: 4443: 4441: 4437: 4431: 4428: 4425: 4422: 4420: 4417: 4415: 4412: 4410: 4407: 4404: 4401: 4399: 4396: 4393: 4390: 4388: 4385: 4382: 4379: 4378: 4376: 4373: 4369: 4365: 4359: 4356: 4354: 4351: 4349: 4346: 4344: 4341: 4339: 4336: 4333: 4330: 4328: 4325: 4323: 4320: 4318: 4315: 4313: 4312:Fleming valve 4310: 4308: 4305: 4303: 4300: 4298: 4295: 4293: 4290: 4288: 4285: 4283: 4280: 4279: 4277: 4275: 4271: 4265: 4262: 4260: 4257: 4255: 4252: 4250: 4247: 4245: 4242: 4240: 4237: 4235: 4232: 4230: 4227: 4225: 4222: 4220: 4217: 4215: 4212: 4211: 4209: 4207: 4203: 4193: 4190: 4188: 4185: 4183: 4180: 4178: 4175: 4172: 4169: 4166: 4163: 4161: 4158: 4155: 4152: 4150: 4147: 4145: 4142: 4140: 4139:Photodetector 4137: 4135: 4132: 4129: 4126: 4124: 4121: 4118: 4115: 4113: 4110: 4108: 4107:Memtransistor 4105: 4103: 4100: 4098: 4095: 4092: 4089: 4087: 4084: 4081: 4078: 4076: 4073: 4071: 4068: 4066: 4063: 4061: 4058: 4057: 4055: 4049: 4043: 4040: 4038: 4035: 4033: 4030: 4028: 4025: 4023: 4020: 4017: 4014: 4011: 4008: 4005: 4002: 4000: 3997: 3994: 3991: 3989: 3986: 3985: 3983: 3981: 3977: 3970: 3967: 3965: 3962: 3959: 3956: 3953: 3950: 3948: 3945: 3943: 3940: 3936: 3933: 3932: 3930: 3927: 3923: 3920: 3918: 3915: 3914: 3912: 3909: 3907: 3904: 3902: 3899: 3896: 3893: 3892: 3890: 3888: 3882: 3876: 3873: 3871: 3868: 3865: 3862: 3860: 3857: 3854: 3851: 3848: 3845: 3843: 3840: 3838: 3835: 3832: 3829: 3826: 3823: 3820: 3817: 3815: 3812: 3809: 3806: 3803: 3800: 3798: 3795: 3793: 3790: 3788: 3785: 3783: 3780: 3778: 3775: 3774: 3772: 3770: 3764: 3761: 3759: 3756:Semiconductor 3753: 3749: 3742: 3737: 3735: 3730: 3728: 3723: 3722: 3719: 3713: 3710: 3708: 3704: 3699: 3698: 3690: 3686: 3682: 3678: 3673: 3668: 3664: 3660: 3656: 3651: 3647: 3641: 3637: 3632: 3628: 3622: 3618: 3613: 3612: 3601:. 2017-11-29. 3600: 3596: 3590: 3576: 3572: 3566: 3552: 3551:www.intel.com 3548: 3542: 3534: 3533: 3528: 3521: 3507:on 2010-06-22 3503: 3499: 3497:1-59593-292-5 3493: 3489: 3485: 3481: 3474: 3467: 3459: 3453: 3449: 3445: 3441: 3434: 3420:on 2015-07-13 3419: 3415: 3411: 3405: 3397: 3393: 3388: 3383: 3379: 3375: 3370: 3365: 3361: 3357: 3353: 3349: 3345: 3338: 3330: 3326: 3321: 3316: 3312: 3308: 3304: 3300: 3293: 3278: 3274: 3268: 3260: 3256: 3252: 3251: 3243: 3235: 3231: 3224: 3213: 3206: 3200: 3185: 3181: 3175: 3160: 3159:anandtech.com 3156: 3150: 3135: 3128: 3120: 3116: 3112: 3108: 3103: 3098: 3094: 3090: 3086: 3082: 3078: 3071: 3063: 3059: 3054: 3049: 3045: 3041: 3037: 3029: 3021: 3017: 3010: 2996:on 2010-08-21 2995: 2991: 2987: 2981: 2965: 2959: 2944: 2940: 2934: 2920:on 2018-08-24 2919: 2915: 2914:SourceTech411 2911: 2905: 2891: 2885: 2877: 2875:9780080477138 2871: 2867: 2866: 2858: 2843: 2839: 2833: 2818: 2814: 2808: 2800: 2796: 2790: 2782: 2776: 2768: 2764: 2758: 2751: 2746: 2735: 2728: 2722: 2708: 2704: 2698: 2684: 2680: 2674: 2658: 2654: 2651: 2644: 2636: 2630: 2628: 2613:on 2017-01-01 2612: 2608: 2604: 2598: 2584:on 2020-12-26 2583: 2579: 2575: 2569: 2555:on 2015-07-13 2554: 2550: 2546: 2540: 2522: 2518: 2511: 2504: 2497: 2493: 2490: 2487:EDN Europe. " 2484: 2477: 2471: 2469: 2461: 2455: 2439: 2433: 2417: 2411: 2404: 2399: 2385:on 2011-09-26 2381: 2374: 2368: 2361: 2356: 2348: 2344: 2340: 2336: 2332: 2325: 2317: 2313: 2306: 2300: 2296: 2293: 2288: 2274:on 2016-03-07 2273: 2269: 2265: 2259: 2251: 2249:9780849386046 2245: 2242:. CRC Press. 2241: 2240: 2232: 2224: 2218: 2214: 2213: 2205: 2186: 2182: 2175: 2169: 2162: 2158: 2152: 2138: 2134: 2128: 2120: 2116: 2110: 2108: 2100: 2096: 2090: 2088: 2079: 2075: 2068: 2060: 2056: 2050: 2048: 2039: 2035: 2029: 2015:on 2015-07-09 2014: 2010: 2006: 2000: 1992: 1986: 1982: 1981: 1973: 1971: 1963: 1959: 1956: 1950: 1943: 1937: 1935: 1933: 1931: 1929: 1927: 1915: 1908: 1902: 1900: 1891: 1887: 1883: 1876: 1861: 1857: 1851: 1849: 1847: 1845: 1836: 1830: 1826: 1819: 1811: 1807: 1803: 1797: 1795: 1793: 1788: 1779: 1776: 1774: 1771: 1769: 1766: 1765: 1761: 1755: 1750: 1743: 1741: 1737: 1732: 1728: 1724: 1720: 1716: 1712: 1708: 1704: 1700: 1696: 1688: 1687:timing delays 1684: 1680: 1676: 1675:architectural 1672: 1667: 1665: 1661: 1657: 1653: 1649: 1644: 1635: 1631: 1629: 1628:configuration 1625: 1621: 1617: 1616:vulnerability 1614: 1609: 1607: 1603: 1598: 1596: 1592: 1588: 1584: 1580: 1576: 1571: 1569: 1565: 1561: 1557: 1553: 1549: 1545: 1541: 1537: 1524: 1522: 1518: 1515: 1513: 1509: 1506: 1503: 1502: 1493: 1489: 1487: 1483: 1480: 1477: 1474: 1471: 1470: 1464: 1462: 1458: 1454: 1450: 1437: 1433: 1430: 1427: 1425: 1422: 1419: 1415: 1414: 1413: 1410: 1408: 1404: 1400: 1396: 1390: 1387: 1382: 1380: 1376: 1372: 1368: 1364: 1359: 1355: 1353: 1349: 1345: 1341: 1337: 1334:to implement 1333: 1328: 1326: 1322: 1318: 1314: 1310: 1306: 1300: 1287: 1282: 1279: 1276: 1272: 1268: 1265: 1264: 1262: 1259: 1254: 1250: 1247: 1246: 1245: 1241: 1237: 1234:manufactures 1233: 1230: 1227: 1224: 1221: 1218: 1215: 1212: 1209: 1206: 1203: 1202: 1201: 1198: 1195: 1192: 1190: 1185: 1183: 1179: 1174: 1172: 1168: 1164: 1160: 1156: 1152: 1148: 1144: 1140: 1137:software for 1136: 1133: 1128: 1126: 1122: 1119:(now part of 1118: 1111:Manufacturers 1105: 1102: 1099: 1096: 1093: 1090: 1087: 1084: 1081: 1078: 1075: 1072: 1071: 1070: 1067: 1065: 1061: 1057: 1053: 1048: 1046: 1042: 1038: 1034: 1029: 1027: 1023: 1019: 1015: 1011: 1007: 1003: 999: 995: 992:In a typical 990: 988: 984: 980: 976: 972: 968: 967: 960: 958: 954: 950: 946: 942: 937: 935: 931: 927: 922: 918: 914: 910: 909: 904: 900: 895: 893: 888: 884: 878: 874: 870: 860: 856: 854: 852: 851:heterogeneous 847: 842: 839: 835: 831: 827: 817: 815: 811: 810:dual port RAM 807: 806:metastability 803: 799: 795: 794:clock domains 791: 787: 783: 779: 775: 771: 767: 763: 753: 751: 747: 743: 739: 735: 731: 728: 724: 720: 716: 715:ARM Cortex-A9 713: 709: 705: 701: 700:ARM Cortex-A9 697: 693: 689: 685: 681: 677: 667: 664: 660: 656: 652: 648: 644: 640: 637: 629: 624: 615: 613: 609: 604: 600: 596: 592: 588: 585: 581: 577: 576:hard IP cores 573: 568: 566: 562: 558: 554: 543: 541: 537: 533: 529: 525: 521: 517: 513: 509: 504: 502: 498: 494: 493:lookup tables 489: 485: 480: 478: 474: 466: 462: 458: 453: 448: 438: 436: 432: 428: 424: 420: 416: 412: 408: 404: 400: 396: 392: 388: 384: 379: 377: 373: 368: 366: 362: 358: 345: 342: 341: 340: 338: 331:Design starts 325: 322: 319: 316: 313: 304: 301: 298: 297: 288: 285: 282: 279: 278: 272: 264: 262: 259: 255: 251: 247: 243: 238: 235: 233: 229: 224: 222: 218: 213: 210: 207:In 1987, the 205: 203: 202:lookup tables 198: 194: 190: 188: 185:to erase the 184: 179: 175: 173: 169: 159: 156: 153: 148: 146: 142: 138: 134: 130: 126: 122: 118: 114: 109: 107: 103: 99: 95: 90: 87: 84: 80: 76: 72: 68: 61: 56: 50: 46: 41: 37: 33: 19: 6478:Neuromorphic 6402: 6341:Cryptography 6297:Applications 6125:LatticeMico8 6115:ARM Cortex-M 6091:Intellectual 5722: 5578:Applications 5402: 5158:WL-CSP / WLP 5028:TSOP / HTSOP 4937:(DPAK) (SMT) 4931:(IPAK) (SMT) 4925:(TH / Panel) 4919:(TH / Panel) 4913:(TH / Panel) 4907:(TH / Panel) 4895:(TH / Panel) 4865:(TH / Panel) 4511:Cold cathode 4478:Storage tube 4368:Vacuum tubes 4317:Neutron tube 4292:Beam tetrode 4274:Vacuum tubes 3859:Power MOSFET 3662: 3658: 3638:. Springer. 3635: 3616: 3598: 3589: 3578:. Retrieved 3574: 3565: 3554:. Retrieved 3550: 3541: 3530: 3520: 3509:. Retrieved 3502:the original 3479: 3466: 3439: 3433: 3422:. Retrieved 3418:the original 3414:Intrinsic ID 3413: 3404: 3354:(15): 5577. 3351: 3347: 3337: 3302: 3298: 3292: 3281:. Retrieved 3279:. 2004-12-01 3276: 3267: 3249: 3242: 3234:The Register 3233: 3223: 3199: 3188:. Retrieved 3186:. 2016-06-03 3183: 3174: 3163:. Retrieved 3161:. 2005-07-25 3158: 3149: 3138:. Retrieved 3127: 3084: 3080: 3070: 3046:(24): 3118. 3043: 3039: 3028: 3019: 3009: 2998:. Retrieved 2994:the original 2989: 2980: 2968:. Retrieved 2958: 2947:. Retrieved 2942: 2933: 2922:. Retrieved 2918:the original 2913: 2904: 2893:. Retrieved 2884: 2864: 2857: 2846:. Retrieved 2841: 2832: 2821:. Retrieved 2816: 2807: 2798: 2789: 2775: 2769:. June 2015. 2766: 2757: 2745: 2734:the original 2721: 2710:. Retrieved 2706: 2697: 2686:. Retrieved 2682: 2673: 2663:September 7, 2661:. Retrieved 2657:the original 2652: 2643: 2615:. Retrieved 2611:the original 2606: 2597: 2586:. Retrieved 2582:the original 2577: 2568: 2557:. Retrieved 2553:the original 2548: 2539: 2528:. Retrieved 2516: 2503: 2483: 2454: 2442:. Retrieved 2432: 2420:. Retrieved 2410: 2398: 2387:. Retrieved 2380:the original 2367: 2355: 2341:(1): 60–63. 2338: 2334: 2324: 2315: 2305: 2287: 2276:. Retrieved 2272:the original 2267: 2258: 2238: 2231: 2211: 2204: 2192:. Retrieved 2180: 2168: 2156: 2151: 2140:. Retrieved 2136: 2127: 2118: 2094: 2077: 2067: 2061:. July 2018. 2058: 2037: 2028: 2017:. Retrieved 2013:the original 2008: 1999: 1979: 1949: 1942:Xilinx, Inc. 1890:the original 1885: 1875: 1864:. Retrieved 1860:the original 1824: 1818: 1805: 1723:flash memory 1695:interconnect 1668: 1645: 1641: 1632: 1610: 1599: 1587:lookup table 1572: 1533: 1519: 1510: 1504: 1484: 1478: 1472: 1446: 1416:Space (with 1411: 1391: 1383: 1360: 1356: 1329: 1302: 1293:Applications 1275:mixed-signal 1269:(previously 1199: 1196: 1193: 1186: 1175: 1129: 1114: 1068: 1056:flash memory 1049: 1030: 1010:test benches 991: 964: 961: 956: 938: 919:, and other 906: 896: 880: 857: 849: 843: 823: 766:clock signal 759: 750:flash memory 717:MPCore. The 676:logic blocks 673: 654: 633: 575: 569: 549: 532:asynchronous 523: 515: 505: 481: 473:logic blocks 470: 457:Lookup table 441:Logic blocks 419:mixed signal 380: 369: 354: 346:2008: 90,000 343:2005: 80,000 337:design start 336: 334: 270: 242:data centers 239: 236: 225: 214: 206: 191: 176: 165: 157: 149: 110: 91: 86:logic blocks 83:programmable 70: 66: 64: 36: 6564:Gate arrays 6155:Open-source 6102:Proprietary 5911:Flow to HDL 5728:Logic block 5308:Memory cell 5076:QUIP / QUIL 4677:Transformer 4419:Sutton tube 4259:Charge pump 4112:Memory cell 4042:Zener diode 4004:Laser diode 3887:transistors 3769:transistors 3081:IEEE Access 3040:Electronics 2080:. EE Times. 1806:toronto.edu 1711:multipliers 1620:access keys 1540:fabrication 1348:multipliers 1253:nonvolatile 1161:, analyze, 1132:proprietary 994:design flow 863:Programming 798:data stream 788:and manage 738:peripherals 730:SmartFusion 670:Integration 612:line coding 603:transistors 595:PCI Express 553:multipliers 546:Hard blocks 528:synchronous 520:multiplexer 516:normal mode 510:(FA) and a 501:Rent's rule 447:Logic block 425:(ADCs) and 411:comparators 357:logic gates 309: 1993 294:Market size 170:(PROM) and 117:logic gates 96:(HDL) e.g. 6553:Categories 6509:chronology 6370:Networking 6130:MicroBlaze 6081:Simulators 6061:Xilinx ISE 5657:Runt pulse 5629:television 5323:Logic gate 5268:Transistor 5260:Components 5084:Grid array 5023:SOP / SSOP 4975:Single row 4858:SOT / TSOT 4749:reed relay 4739:Parametron 4672:Thermistor 4650:resettable 4609:Connector 4570:Adjustable 4546:Nixie tube 4516:Crossatron 4483:Trochotron 4458:Iconoscope 4453:Charactron 4430:X-ray tube 4302:Compactron 4282:Acorn tube 4239:Buck–boost 4160:Solaristor 4022:Photodiode 3999:Gunn diode 3995:(CLD, CRD) 3777:Transistor 3599:numato.com 3580:2018-12-01 3556:2018-12-01 3511:2017-10-25 3424:2015-07-12 3320:10945/7159 3283:2024-01-17 3190:2018-11-02 3165:2020-12-16 3140:2018-09-18 3000:2018-11-02 2949:2018-10-07 2924:2018-12-01 2895:2024-02-27 2848:2018-12-01 2823:2024-02-29 2712:2018-12-01 2688:2018-12-01 2617:2015-07-17 2588:2020-12-16 2559:2015-07-13 2530:2018-11-30 2517:xilinx.com 2389:2013-05-01 2278:2010-06-15 2268:altium.com 2181:xilinx.com 2142:2024-04-25 2019:2015-07-08 1912:. Xilinx. 1886:altera.com 1866:2013-07-11 1784:References 1654:and lower 1583:encryption 1548:encryption 1512:AN/PRC-148 1336:glue logic 1325:optimality 1315:or Altera 1313:MicroBlaze 1305:computable 1297:See also: 1240:instant-on 1167:synthesize 1018:gate-level 917:simulation 846:interposer 838:28 nm 698:dual-core 647:MicroBlaze 557:DSP blocks 555:, generic 524:arithmetic 522:(mux). In 508:full adder 461:Full adder 263:platform. 254:accelerate 232:networking 197:gate array 133:flip-flops 47:FPGA from 45:Stratix IV 6499:Processor 6453:Multicore 6200:Microwatt 6195:Libre-SOC 6190:Power ISA 6173:OpenCores 6135:PicoBlaze 5942:Accellera 5935:Companies 5802:Languages 5513:Placement 5303:Flip-flop 5283:Capacitor 5138:Flip Chip 5057:QIP / QIL 5018:SO / SOIC 5008:Flat Pack 5003:DIP / DIL 4982:SIP / SIL 4850:3...5-pin 4712:Capacitor 4556:Trigatron 4551:Thyratron 4541:Neon lamp 4468:Monoscope 4348:Phototube 4332:Pentagrid 4297:Barretter 4182:Trancitor 4177:Thyristor 4102:Memristor 4027:PIN diode 3804:(ChemFET) 3532:AnandTech 3378:1424-8220 3119:246895876 3111:2169-3536 3062:2079-9292 2347:2229-7057 1683:registers 1624:low-level 1595:Microsemi 1589:provides 1575:Microsemi 1544:bitstream 1407:SSD drive 1267:Microsemi 1261:Microchip 1242:and live 1236:low-power 1014:synthesis 971:OpenCores 897:Using an 887:schematic 748:in their 727:Microsemi 712:dual-core 618:Soft core 383:slew rate 221:Microchip 217:Microsemi 104:(ASICs). 6458:Manycore 6443:Dataflow 6396:C to HDL 6178:OpenRISC 6093:property 6071:ModelSim 6049:Software 6023:Hardware 6016:Products 6002:Synopsys 5972:Infineon 5947:Achronix 5906:C to HDL 5901:OpenVera 5866:Handel-C 5706:Concepts 5278:Inductor 5273:Resistor 5047:Quad row 4991:Dual row 4734:Inductor 4704:Reactive 4682:Varistor 4662:Resistor 4640:Antifuse 4526:Ignitron 4521:Dekatron 4409:Klystron 4398:Gyrotron 4327:Nuvistor 4244:Split-pi 4130:(MOS IC) 4097:Memistor 3855:(MuGFET) 3849:(MOSFET) 3821:(FinFET) 3396:35898079 3212:Archived 2990:bdti.com 2521:Archived 2492:Archived 2295:Archived 2194:14 April 2185:Archived 2157:EE Times 2095:EE Times 1958:Archived 1914:Archived 1746:See also 1705:such as 1613:backdoor 1530:Security 1467:L3Harris 1205:Achronix 1163:simulate 1080:Antifuse 1045:C to HDL 756:Clocking 704:embedded 655:run time 639:IP cores 584:Ethernet 578:such as 565:memories 477:I/O pads 204:(LUTs). 6487:Related 6314:DirectX 6145:Nios II 6035:Stratix 5997:Siemens 5982:Lattice 5967:Cadence 5856:SystemC 5810:Verilog 5518:Routing 5352:(3D IC) 4817:(DO-27) 4805:Single 4635:Ferrite 4603:Passive 4594:Varicap 4582:digital 4531:Krytron 4353:Tetrode 4338:Pentode 4192:Varicap 4173:(3D IC) 4149:RF CMOS 4053:devices 3827:(FGMOS) 3758:devices 3707:YouTube 3681:4920719 3387:9331300 3356:Bibcode 3348:Sensors 3089:Bibcode 3020:EETimes 2799:Reuters 2767:Reuters 2653:EETimes 1736:booting 1579:Lattice 1488:Radios: 1317:Nios II 1171:compile 1155:Quartus 1139:Windows 1006:Verilog 953:LabVIEW 945:Verilog 903:netlist 643:Nios II 162:History 6504:design 6363:scrypt 6270:Theory 6207:RISC-V 6066:Vivado 6040:Virtex 5926:Chisel 5886:PALASM 5770:Xputer 5495:Design 5431:Theory 5417:(ASIC) 5411:(FPOA) 5405:(FPGA) 5399:(CPLD) 5364:(EPLD) 4965:TO-274 4959:TO-273 4953:TO-268 4947:TO-263 4941:TO-262 4935:TO-252 4929:TO-251 4923:TO-247 4917:TO-220 4911:TO-202 4905:TO-126 4833:DO-214 4827:DO-213 4821:DO-204 4815:DO-201 4667:Switch 4358:Triode 4322:Nonode 4287:Audion 4167:(SITh) 4051:Other 4018:(OLED) 3980:Diodes 3931:(LET) 3913:(FET) 3885:Other 3833:(IGBT) 3810:(CMOS) 3797:BioFET 3792:BiCMOS 3679:  3642:  3623:  3494:  3454:  3394:  3384:  3376:  3329:115840 3327:  3117:  3109:  3060:  2970:May 6, 2872:  2444:May 6, 2422:May 6, 2345:  2246:  2219:  1987:  1831:  1717:, and 1715:memory 1707:adders 1630:data. 1602:Altera 1562:offer 1560:Xilinx 1556:Altera 1499:Thales 1453:Thales 1436:MiSTer 1220:Efinix 1211:Altium 1178:Tabula 1165:, and 1159:design 1151:Vivado 1125:Altera 1123:) and 1117:Xilinx 1098:EEPROM 1060:EEPROM 1033:OpenCL 934:EEPROM 875:, and 830:Xilinx 826:Tabula 790:jitter 770:H tree 708:Altera 688:Xilinx 651:Mico32 628:Xilinx 497:routed 401:, and 391:couple 351:Design 267:Growth 219:, now 193:Xilinx 178:Altera 60:Xilinx 49:Altera 6321:Audio 6309:GPGPU 5977:Intel 5957:Aldec 5916:MyHDL 5837:VITAL 5602:radio 5423:(TPU) 5393:(GAL) 5387:(PAL) 5381:(PLD) 5375:(PLA) 5358:(ECL) 5341:(HIC) 5116:Wafer 4899:TO-92 4893:TO-66 4887:TO-39 4881:TO-18 4807:diode 4744:Relay 4717:types 4655:eFUSE 4426:(TWT) 4414:Maser 4405:(IOT) 4394:(CFA) 4383:(BWO) 4307:Diode 4254:SEPIC 4234:Boost 4187:TRIAC 4156:(SCR) 4119:(MOV) 4093:(LEC) 4012:(LED) 3971:(UJT) 3960:(SIT) 3954:(PUT) 3897:(BJT) 3866:(TFT) 3842:LDMOS 3837:ISFET 3677:S2CID 3505:(PDF) 3476:(PDF) 3325:S2CID 3215:(PDF) 3208:(PDF) 3115:S2CID 2817:Intel 2737:(PDF) 2730:(PDF) 2707:Intel 2549:Intel 2524:(PDF) 2513:(PDF) 2383:(PDF) 2376:(PDF) 2188:(PDF) 2177:(PDF) 2038:WIRED 1917:(PDF) 1910:(PDF) 1740:reset 1403:i-RAM 1395:ArVid 1332:CPLDs 1281:Atmel 1271:Actel 1143:Linux 1104:Flash 1092:EPROM 814:FIFOs 734:flash 719:Atmel 540:space 372:buses 275:Gates 258:Azure 187:EPROM 119:like 6413:CPLD 6408:ASIC 6403:FPGA 6375:Data 6183:1200 6140:Nios 6120:LEON 5921:ELLA 5896:CUPL 5891:ABEL 5871:Lola 5861:AHDL 5827:VHDL 5760:PSoC 5740:EPLD 5735:CPLD 5723:FPGA 5713:ASIC 5335:(IC) 5153:UICC 5096:eWLB 5062:PLCC 5013:MSOP 4901:(TH) 4889:(TH) 4883:(TH) 4877:(TH) 4875:TO-8 4871:(TH) 4869:TO-5 4863:TO-3 4687:Wire 4645:Fuse 4229:Buck 4082:(IC) 4070:DIAC 4006:(LD) 3875:UMOS 3870:VMOS 3787:PMOS 3782:NMOS 3767:MOS 3640:ISBN 3621:ISBN 3492:ISBN 3452:ISBN 3392:PMID 3374:ISSN 3107:ISSN 3058:ISSN 2972:2018 2870:ISBN 2665:2017 2446:2018 2424:2018 2343:ISSN 2244:ISBN 2217:ISBN 2196:2018 1985:ISBN 1829:ISBN 1689:and 1648:bugs 1558:and 1550:and 1521:JTRS 1455:and 1377:for 1367:Bing 1338:for 1323:and 1189:US$ 1153:and 1141:and 1086:PROM 1074:Fuse 1064:CMOS 1052:SRAM 1043:and 1002:VHDL 943:and 941:VHDL 930:JTAG 853:FPGA 828:and 774:skew 744:and 663:CPUs 649:and 574:and 387:ring 361:ASIC 230:and 123:and 98:VHDL 71:FPGA 18:FPGA 6346:TLS 6304:GPU 6212:Zet 6163:JOP 6110:ARC 6076:VTR 6030:iCE 5992:NXP 5962:Arm 5952:AMD 5881:UPF 5876:PSL 5849:DPI 5832:AMS 5820:AMS 5755:GAL 5750:PAL 5745:PLA 5718:SoC 5143:PoP 5133:CSP 5129:COG 5126:COF 5123:COB 5106:PGA 5101:LGA 5091:BGA 5072:QFP 5067:QFN 5053:LCC 5038:ZIP 4998:DFN 4839:SOD 4249:Ćuk 3705:on 3667:doi 3484:doi 3444:doi 3382:PMC 3364:doi 3315:hdl 3307:doi 3255:doi 3097:doi 3048:doi 2159:, " 2097:, " 1564:AES 1401:'s 1147:ISE 1121:AMD 1058:or 1004:or 998:RTL 983:BSD 979:GPL 780:or 723:AVR 696:GHz 593:or 591:PCI 530:or 389:or 183:die 125:XOR 121:AND 6555:: 5148:QP 4623:RF 4372:RF 3675:. 3663:26 3661:. 3657:. 3597:. 3573:. 3549:. 3529:. 3490:. 3478:. 3450:. 3412:. 3390:. 3380:. 3372:. 3362:. 3352:22 3350:. 3346:. 3323:. 3313:. 3303:25 3301:. 3275:. 3232:. 3210:. 3182:. 3157:. 3113:. 3105:. 3095:. 3085:10 3083:. 3079:. 3056:. 3044:10 3042:. 3038:. 3018:. 2988:. 2941:. 2912:. 2840:. 2815:. 2797:. 2765:. 2705:. 2681:. 2626:^ 2605:. 2576:. 2547:. 2519:. 2515:. 2467:^ 2337:. 2333:. 2314:. 2266:. 2183:. 2179:. 2135:. 2117:. 2106:^ 2086:^ 2076:. 2057:. 2046:^ 2036:. 2007:. 1969:^ 1925:^ 1898:^ 1884:. 1843:^ 1808:. 1804:. 1791:^ 1713:, 1709:, 1597:. 1263:: 1066:. 1047:. 989:. 981:, 951:' 947:. 936:. 915:, 894:. 871:, 855:. 645:, 626:A 589:, 582:, 567:. 559:, 542:. 335:A 306:c. 147:. 65:A 43:A 6254:e 6247:t 6240:v 5815:A 5691:e 5684:t 5677:v 5244:e 5237:t 5230:v 4790:e 4783:t 4776:v 4374:) 4370:( 3740:e 3733:t 3726:v 3683:. 3669:: 3648:. 3629:. 3583:. 3559:. 3535:. 3514:. 3486:: 3460:. 3446:: 3427:. 3398:. 3366:: 3358:: 3331:. 3317:: 3309:: 3286:. 3261:. 3257:: 3236:. 3193:. 3168:. 3143:. 3121:. 3099:: 3091:: 3064:. 3050:: 3022:. 3003:. 2974:. 2952:. 2927:. 2898:. 2878:. 2851:. 2826:. 2715:. 2691:. 2667:. 2620:. 2591:. 2562:. 2533:. 2448:. 2426:. 2392:. 2349:. 2339:4 2318:. 2281:. 2252:. 2225:. 2198:. 2145:. 2121:. 2022:. 1993:. 1869:. 1837:. 1812:. 1734:" 1420:) 1169:( 1149:/ 1145:( 957:G 928:( 467:) 69:( 34:. 20:)

Index

FPGA
Flip-chip pin grid array

Stratix IV
Altera

Xilinx
integrated circuit
programmable logic devices
programmable
logic blocks
hardware description language
VHDL
application-specific integrated circuits
Circuit diagrams
combinational functions
logic gates
AND
XOR
memory elements
flip-flops
logic functions
reconfigurable computing
computer software
embedded system
programmable read-only memory
programmable logic devices
Altera
die
EPROM

Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.