46:
2517:
2124:
2031:
1984:
7606:
317:
7616:
2330:
1229:
1306:
7626:
440:
2400:) flow to convert the designers' intent into the design of the SoC. Throughout this conversion process, the design is analyzed with static timing modeling, simulation and other tools to ensure that it meets the specified operational parameters such as frequency, power consumption and dissipation, functional integrity (as described in the register transfer level code) and electrical integrity.
35:
1430:(RTL) which defines the circuit behavior, or synthesized into RTL from a high level language through high-level synthesis. These elements are connected together in a hardware description language to create the full SoC design. The logic specified to connect these components and convert between possibly different interfaces provided by different vendors is called
1522:
FPGA prototypes, in contrast, use FPGAs directly to enable engineers to validate and test at, or close to, a system's full operating frequency with real-world stimuli. Tools such as Certus are used to insert probes in the FPGA RTL that make signals available for observation. This is used to debug
2403:
When all known bugs have been rectified and these have been re-verified and all physical design checks are done, the physical design files describing each layer of the chip are sent to the foundry's mask shop where a full set of glass lithographic masks will be etched. These are sent to a wafer
1518:
With high capacity and fast compilation time, simulation acceleration and emulation are powerful technologies that provide wide visibility into systems. Both technologies, however, operate slowly, on the order of MHz, which may be significantly slower – up to 100 times slower – than the SoC's
564:-powered computers, these were four discrete chips. The ARM7500 chip was their second-generation SoC, based on the ARM700, VIDC20 and IOMD controllers, and was widely licensed in embedded devices such as set-top-boxes, as well as later Acorn personal computers.
2432:
ASICs consume less power and are faster than FPGAs but cannot be reprogrammed and are expensive to manufacture. FPGA designs are more suitable for lower volume designs, but after enough units of production ASICs reduce the total cost of ownership.
1206:
Many SoC researchers consider NoC architectures to be the future of SoC design because they have been shown to efficiently meet power and throughput needs of SoC designs. Current NoC architectures are two-dimensional. 2D IC design has limited
2466:
may be too high in a SoC for a given purpose because functional components are too close together, and in an SiP heat will dissipate better from different functional modules since they are physically further apart.
2198:. Not every important computing activity in a SoC is performed in software running on on-chip processors, but scheduling can drastically improve performance of software-based tasks and other tasks involving
3167:
In interviews most of the interviewers are asking questions on "What is
Difference between Verification and Validation?" Many people use verification and validation interchangeably but both have different
1932:
In particular, most SoCs are in a small physical area or volume and therefore the effects of waste heat are compounded because there is little room for it to diffuse out of the system. Because of high
1609:
solutions are sought after in SoC design. Oftentimes the goals of optimizing some of these quantities are directly at odds, further adding complexity to design optimization of SoCs and introducing
1905:
of components. The power densities of high speed integrated circuits, particularly microprocessors and including SoCs, have become highly uneven. Too much waste heat can damage circuits and erode
1403:. HLS products called "algorithmic synthesis" allow designers to use C++ to model and synthesize system, circuit, software and verification levels all in one high level language commonly known to
1120:
has caused on-chip communication efficiency to become one of the key factors in determining the overall system performance and cost. This has led to the emergence of interconnection networks with
1665:
from high energy consumption can damage other circuit components if too much heat is dissipated, giving another pragmatic reason to conserve energy. The amount of energy used in a circuit is the
2436:
SoC designs consume less power and have a lower cost and higher reliability than the multi-chip systems that they replace. With fewer packages in the system, assembly costs are reduced as well.
2096:. Some tasks run in application-specific hardware units, however, and even task scheduling may not be sufficient to optimize all software-based tasks to meet timing and throughput constraints.
1762:
1049:
typically connected the different components, also called "blocks" of the SoC. A very common bus for SoC communications is ARM's royalty-free
Advanced Microcontroller Bus Architecture (
1090:
must decrease with each additional core attached for power to be sustainable, and long wires consume large amounts of electrical power. These challenges are prohibitive to supporting
868:
or shields. Or they may be internal to the SoC, such as if an analog sensor is built in to the SoC and its readings must be converted to digital signals for mathematical processing.
567:
Tablet and laptop manufacturers have learned lessons from embedded systems and smartphone markets about reduced power consumption, better performance and reliability from tighter
258:. Similar to how a microcontroller integrates a microprocessor with peripheral circuits and memory, an SoC can be seen as integrating a microcontroller with even more advanced
655:
and designed to be more efficient than general-purpose instructions for a specific type of workload. Multiprocessor SoCs have more than one processor core by definition. The
374:
Where previously only microcontrollers could be used, SoCs are rising to prominence in the embedded systems market. Tighter system integration offers better reliability and
1325:
for an SoC aims to develop this hardware and software at the same time, also known as architectural co-design. The design flow must also take into account optimizations (
794:
560:
with the ARM250 SoC. It combined the original Acorn ARM2 processor with a memory controller (MEMC), video controller (VIDC), and I/O controller (IOC). In previous Acorn
1649:), can potentially spend months or years without a power source while needing to maintain autonomous function, and often are limited in power use by a high number of
2807:
358:
SoCs can be applied to any computing task. However, they are typically used in mobile computing such as tablets, smartphones, smartwatches and netbooks as well as
7718:
4795:
2716:
3209:
1574:
between modular units and other factors. Optimization is necessarily a design goal of SoCs. If optimization was not necessary, the engineers would use a
7998:
3481:
1407:
in a manner independent of time scales, which are typically specified in HDL. Other components can remain software and be compiled and embedded onto
3767:
1530:, during which performance constraints, such as operational frequency and expected signal delays, are applied. This generates an output known as a
7662:
5710:
1963:
produces more heat output than the last. Compounding this problem, SoC architectures are usually heterogeneous, creating spatially inhomogeneous
4906:
4089:
2605:
2462:. When produced in large volumes, SoC is more cost-effective than SiP because its packaging is simpler. Another reason SiP may be preferred is
2443:(VLSI) designs, the total cost is higher for one large chip than for the same functionality distributed over several smaller chips, because of
924:
644:
4608:
2735:
1581:
Common optimization targets for SoC designs follow, with explanations of each. In general, optimizing any of these quantities may be a hard
6084:
3886:
1824:, so SoCs performing multimedia tasks must be computationally capable platform while being low power to run off a standard mobile battery.
469:
hardware and firmware. With increasing memory sizes, high end SoCs will often have no memory and flash storage and instead, the memory and
4765:
4331:
4148:
516:
2159:
techniques, but additional techniques are used to model and optimize SoC design alternatives to make the system optimal with respect to
1698:
6642:
5867:
5119:
3544:
1321:, and the software controlling the microcontroller, microprocessor or digital signal processor cores, peripherals and interfaces. The
4111:
1050:
4760:
1412:
1333:
916:
3517:
7817:
6107:
4832:
3606:
1551:
1512:
346:
7359:
7331:
5862:
5114:
4585:
2444:
1867:
1447:
7384:
3453:
3404:
3302:
3263:
3056:
3010:
2113:
2782:
2313:
7235:
6285:
6255:
5762:
5529:
4653:
3916:
3760:
3294:
2073:
to each-other to minimize the interconnection delays and maximize the speed at which data is communicated between modules,
1212:
3493:
7655:
7389:
6661:
5703:
5539:
4680:
2160:
2109:
6894:
3807:
3724:
2565:
1365:
3150:
1842:
in performance per watt: maximize the performance of the SoC given a budget of power usage. Many applications such as
203:
Higher-performance SoCs are often paired with dedicated and physically separate memory and secondary storage (such as
7822:
7541:
7369:
6899:
6129:
4847:
4675:
4648:
4027:
3703:
3434:
2964:
2875:
2393:
2369:
2347:
1821:
1578:
architecture without accounting for the area use, power consumption or performance of the system to the same extent.
1268:
1246:
935:
904:
538:
5899:
1519:
operating frequency. Acceleration and emulation boxes are also very large and expensive at over US$ 1 million.
7857:
7740:
7629:
6723:
6077:
5857:
5662:
5225:
4118:
4084:
4079:
3998:
3963:
2389:
2383:
1941:
1873:
1523:
hardware, firmware and software interactions across multiple FPGAs with capabilities similar to a logic analyzer.
7017:
6270:
5637:
5534:
4935:
4842:
4643:
3864:
3753:
3628:
3424:
1453:
1372:
1176:
1037:(NoC) have risen to prominence and are forecast to overtake bus architectures for SoC design in the near future.
541:& Kompanio Series. Standalone application & tablet processors that power devices such as Amazon Echo Show
485:
190:
7988:
7648:
7308:
7270:
6927:
6635:
5847:
5696:
4663:
4382:
3817:
3537:
2351:
2082:
1465:
1461:
1250:
1215:(3DICs) emerge, SoC designers are looking towards building three-dimensional on-chip networks known as 3DNoCs.
1117:
761:
on-chip to be accessed by a different processor. For further discussion of multi-processing memory issues, see
750:
166:
17:
2857:
1167:
are an ongoing area of much research interest. NoC architectures range from traditional distributed computing
7973:
7812:
7450:
7427:
7157:
7147:
6315:
6196:
6117:
4837:
4685:
4658:
4519:
4133:
4094:
3951:
3719:
3634:
2425:
1500:
1423:
1286:
1152:
912:
378:, and SoCs offer more advanced functionality and computing power than microcontrollers. Applications include
1495:
to verify and debug hardware and software for SoC designs prior to the finalization of the design, known as
285:, in part due to the influence of SoCs and lessons learned from the mobile and embedded computing markets.
7531:
7119:
7027:
6932:
6708:
6693:
6134:
5723:
5274:
5036:
4512:
4473:
4128:
4123:
4057:
3869:
3501:
2440:
2105:
1598:
1534:
describing the design as a physical circuit and its interconnections. These netlists are combined with the
1357:
1208:
1188:
908:
726:
648:
587:
182:
557:
277:
of components. By definition, SoC designs are fully or nearly fully integrated across different component
7619:
7354:
6852:
6310:
6070:
5688:
4901:
4598:
4296:
3993:
2316:
of power, heat, latency and other factors to allow design decisions to be optimized for the common case.
2156:
1926:
1906:
845:
841:
798:
722:
6290:
2832:
2305:
7978:
7723:
7591:
7240:
6014:
5551:
5198:
4615:
4106:
4074:
3844:
3832:
3812:
2528:
2136:
2042:
1995:
1914:
1582:
900:
375:
2900:
2301:
7993:
7968:
7918:
7750:
7609:
7536:
7511:
7374:
7022:
6628:
6093:
5642:
5605:
5595:
3983:
3691:
3530:
3512:
3137:
2448:
2253:
2066:
1602:
1477:
943:
281:. For these reasons, there has been a general trend towards tighter integration of components in the
1538:
connecting the components to produce the schematic description of the SoC as a circuit which can be
7983:
7887:
7735:
7713:
7687:
7460:
7293:
6879:
6748:
6552:
6176:
6159:
6144:
6139:
6020:
5657:
5064:
5000:
4977:
4827:
4789:
4625:
4575:
4570:
4047:
3941:
3849:
3708:
3585:
2548:
2269:
1898:
1805:
1621:
1567:
1492:
1133:
1109:
1033:
architectures were used, but recently designs based on sparse intercommunication networks known as
876:
830:
640:
611:
270:
174:
1641:
used to perform the SoC's functions. Most SoCs must use low power. SoC systems often require long
7872:
7521:
7455:
7346:
7162:
6822:
6529:
5914:
5886:
5610:
5393:
5287:
5251:
5168:
5152:
4994:
4783:
4742:
4730:
4593:
4507:
4428:
4193:
3854:
3797:
3573:
3181:
3080:
2575:
2570:
2551:
often compares many options. Benchmarks, such as COSMIC, are developed to help such evaluations.
2340:
2281:
1658:
1594:
1457:
1443:
1427:
1388:
1239:
1156:
1148:
1059:
controllers route data directly between external interfaces and SoC memory, bypassing the CPU or
1018:
810:
579:
489:
448:
219:(PoP) configuration, or be placed close to the SoC. Additionally, SoCs may use separate wireless
208:
154:
721:. As in other computer systems, RAM can be subdivided into relatively faster but more expensive
7767:
7762:
7586:
7417:
7298:
7065:
7055:
7050:
6470:
6356:
5416:
5388:
5298:
5263:
5012:
5006:
4988:
4722:
4716:
4620:
4524:
4415:
4354:
4216:
3859:
2171:
2093:
1847:
1793:
1590:
939:
782:
282:
3318:
3288:
2454:
When it is not feasible to construct an SoC for a particular application, an alternative is a
2396:(MOS) technology. The netlists described above are used as the basis for the physical design (
1909:
of the circuit over time. High temperatures and thermal stress negatively impact reliability,
265:
Compared to a multi-chip architecture, an SoC with equivalent functionality will have reduced
7908:
7852:
7844:
7697:
7679:
7671:
7556:
7526:
7516:
7412:
7326:
7202:
7142:
7109:
7099:
6982:
6947:
6937:
6874:
6743:
6718:
6713:
6678:
6480:
6371:
6361:
6186:
6009:
5894:
5719:
5590:
5499:
5245:
4957:
4775:
4534:
4502:
4460:
4372:
4173:
3988:
3978:
3968:
3958:
3928:
3911:
3776:
3686:
2683:
2643:
2611:
2585:
2249:
2237:
2210:
2070:
2062:
1773:
1654:
1617:
1571:
1539:
1419:
1353:
1290:
1196:
1022:
920:
702:
274:
923:. SP cores most often feature application-specific instructions, and as such are typically
7877:
7800:
7784:
7316:
7288:
7260:
7255:
7084:
7060:
7012:
6995:
6990:
6972:
6962:
6957:
6919:
6869:
6864:
6781:
6727:
6544:
6491:
6376:
6275:
6181:
5620:
5556:
5142:
4864:
4754:
4701:
4233:
3946:
3802:
3784:
3669:
2222:
1952:
1877:
1855:
1851:
1690:
1392:
1349:
1192:
1164:
1083:
1056:
778:
746:
710:
682:
399:
2280:
allows SoC states and NoC buffers to be modeled as arrival processes and analyzed through
1638:
8:
7925:
7581:
7506:
7422:
7407:
7172:
6952:
6909:
6904:
6801:
6791:
6763:
6589:
6445:
6171:
6149:
5954:
5667:
5652:
5472:
5323:
5305:
5269:
5257:
4911:
4858:
4635:
4551:
4433:
4288:
4183:
4042:
3663:
2590:
2501:
2309:
2265:
2175:
1956:
1937:
1657:
in an area. Additionally, energy costs can be high and conserving energy will reduce the
1408:
1361:
1314:
1087:
971:
660:
519:), used in many smartphones. In 2018, Snapdragon SoCs were being used as the backbone of
512:
481:
462:
178:
7935:
7903:
7692:
7546:
7445:
7321:
7278:
7187:
7129:
7114:
7104:
6889:
6688:
6465:
6381:
5524:
5516:
5368:
5343:
5147:
5022:
4546:
4487:
4367:
4099:
3827:
3319:"FPGA vs ASIC: Differences between them and which one to use? – Numato Lab Help Center"
3256:
Heat
Management in Integrated circuits: On-chip and system-level monitoring and cooling
2632:
2206:
2179:
1960:
1890:
1674:
1404:
1172:
1121:
754:
734:
705:
embedded microcontrollers, this is not necessary. Memory technologies for SoCs include
652:
568:
474:
403:
231:
216:
142:
5904:
5842:
3107:
349:
SoCs designed for specific applications that do not fit into the above two categories.
7832:
7779:
7566:
7496:
7475:
7437:
7245:
7212:
7192:
6884:
6796:
6670:
6396:
6243:
5974:
5747:
5477:
5444:
5360:
5292:
5193:
5183:
5173:
5104:
5099:
5094:
5017:
4946:
4852:
4812:
4445:
4395:
4345:
4321:
4203:
4143:
4138:
4020:
3936:
3657:
3622:
3497:
3459:
3449:
3430:
3410:
3400:
3395:. Kluwer international series in engineering and computer science, SECS 711. Boston:
3347:
3298:
3269:
3259:
3234:
3062:
3052:
3016:
3006:
2970:
2960:
2768:
2671:
2627:
2595:
2455:
2245:
2191:
1606:
1575:
1563:
1322:
1282:
1129:
1103:
1072:
1034:
998:
986:
975:
967:
880:
849:
730:
458:
395:
266:
197:
5982:
7913:
7755:
7399:
7283:
7250:
7045:
6967:
6856:
6842:
6837:
6786:
6773:
6651:
6265:
5647:
5580:
5566:
5421:
5328:
5282:
5089:
5084:
5079:
5074:
5069:
5059:
4896:
4807:
4802:
4711:
4563:
4558:
4541:
4529:
4468:
4032:
4010:
3896:
3874:
3792:
3729:
3696:
2484:
2229:
2089:
1933:
1918:
1910:
1839:
1801:
1789:
1678:
1504:
1168:
1125:
1002:
861:
814:
706:
694:
659:
is a common choice for SoC processor cores because some ARM-architecture cores are
656:
607:
583:
561:
454:
289:
251:
215:, respectively) chips, that may be layered on top of the SoC in what is known as a
109:
74:
5964:
2783:"Power Management for Internet of Things (IoT) System on a Chip (SoC) Development"
1422:
of the SoC has been defined, any new hardware elements are written in an abstract
7947:
7942:
7470:
7364:
7336:
7230:
7182:
7167:
7152:
7007:
7002:
6942:
6832:
6806:
6758:
6703:
6499:
5807:
5767:
5561:
5546:
5494:
5398:
5373:
5210:
5203:
5054:
5049:
5044:
4983:
4891:
4881:
4603:
4438:
4390:
4153:
4037:
4005:
3906:
3901:
3822:
3714:
3640:
3596:
3591:
3390:
3002:
2675:
2663:
2621:
2397:
2285:
2277:
2199:
2078:
1968:
1902:
1833:
1817:
1797:
1670:
1650:
1610:
1543:
1527:
1460:
and it accounts for a significant portion of the time and energy expended in the
1300:
1180:
1139:
Networks-on-chip have advantages including destination- and application-specific
1113:
1079:
1046:
1030:
959:
928:
892:
762:
698:
690:
686:
676:
632:
599:
553:
387:
363:
359:
332:
320:
301:
235:
194:
158:
45:
2833:"Qualcomm launches SoCs for embedded vision | Imaging and Machine Vision Europe"
927:(ASIP). Such application-specific instructions correspond to dedicated hardware
200:
functions (otherwise it may be considered on a discrete application processor).
7930:
7882:
7745:
7576:
7480:
7379:
7225:
7197:
6601:
5672:
5506:
5489:
5482:
5378:
5235:
4972:
4886:
4817:
4400:
4362:
4311:
4306:
4301:
4015:
3839:
3734:
3601:
3568:
3396:
3210:"FPGA Prototyping to Structured ASIC Production to Reduce Cost, Risk & TTM"
2667:
2637:
2479:
2074:
1947:
These thermal effects force SoC and other chip designers to apply conservative
1944:
but would result in unacceptably high amounts of heat in the circuit's volume.
1843:
1526:
In parallel, the hardware elements are grouped and passed through a process of
1345:
1337:
1296:
1144:
1014:
994:
766:
636:
628:
603:
498:
466:
407:
383:
379:
339:
305:
239:
7962:
7465:
6753:
6572:
6557:
6429:
6351:
6238:
6046:
5817:
5782:
5742:
5467:
5383:
4423:
4405:
4198:
3891:
3463:
3273:
3066:
3020:
2616:
2419:
1948:
1894:
1809:
1777:
1765:
1469:
1341:
1160:
1068:
1026:
896:
837:
575:
186:
4326:
3414:
2974:
614:
to connect, control, direct and interface between these functional modules.
7561:
7220:
6514:
6504:
6154:
5852:
5777:
5677:
5615:
5431:
5408:
5220:
4941:
3879:
2925:
2687:
2600:
2459:
2297:
2273:
1922:
1686:
1642:
1481:
1200:
1184:
1060:
963:
813:, etc. These interfaces will differ according to the intended application.
718:
470:
293:
162:
54:
5924:
5718:
3360:
1792:
devices, another reason that power consumption must be minimized in SoCs.
1689:, power is current squared times resistance or voltage squared divided by
7551:
7177:
7089:
6300:
6214:
6122:
6026:
5757:
5462:
5426:
5137:
5109:
4967:
4822:
3745:
3522:
3506:
3258:. London, United Kingdom: The Institution of Engineering and Technology.
2411:
1936:
on modern devices, oftentimes a layout of sufficient throughput and high
1886:
1769:
1586:
1400:
947:
742:
297:
255:
170:
150:
7640:
6004:
2717:"7 dazzling smartphone improvements with Qualcomm's Snapdragon 835 chip"
2516:
2123:
2092:
running on processor cores, latency and throughput can be improved with
2030:
1983:
631:, but typically an SoC has more than one core. Processor cores can be a
444:
7730:
7571:
7501:
7094:
6827:
6683:
6519:
6450:
6419:
6231:
5832:
5802:
5772:
5348:
5338:
5333:
5315:
5215:
5188:
4450:
4283:
4253:
3973:
3081:"Best Practices for FPGA Prototyping of MATLAB and Simulink Algorithms"
2743:
2691:
2463:
2404:
fabrication plant to create the SoC dice before packaging and testing.
2354: in this section. Unsourced material may be challenged and removed.
2015:
1883:
1812:
multimedia. Computation is more demanding as expectations move towards
1781:
1662:
1646:
1535:
1431:
1253: in this section. Unsourced material may be challenged and removed.
1064:
1021:
back and forth. Because of this, all but the most trivial SoCs require
982:
865:
524:
278:
259:
2901:"Always Connected PCs, Extended Battery Life 4G LTE Laptops | Windows"
7862:
7076:
7037:
6584:
6579:
6562:
6524:
6331:
6209:
6062:
5827:
5792:
5752:
5439:
5436:
5178:
4248:
4226:
1964:
1508:
1452:
Chips are verified for validation correctness before being sent to a
822:
738:
391:
316:
3487:
2329:
1228:
745:. "Main memory" may be specific to a single processor (which can be
7867:
7805:
7137:
6620:
6567:
6460:
6391:
6336:
6295:
6260:
6226:
5949:
5939:
5929:
5909:
5454:
4273:
3343:
3133:
3112:
2764:
2489:
1813:
1666:
1547:
1496:
1488:
1396:
1344:
that may control their operation. Of particular importance are the
1091:
888:
857:
790:
572:
532:
506:
146:
50:
38:
3446:
Network-on-chip: the Next
Generation of System-on-Chip Integration
3049:
Network-on-chip: the Next
Generation of System-on-Chip Integration
410:
markets. Some examples of SoCs for embedded applications include:
6534:
6424:
6386:
6250:
6204:
5934:
5812:
5787:
5737:
4263:
4221:
3578:
3290:
Essential Issues in SOC Design: Designing
Complex Systems-on-Chip
2808:"Is a single-chip SOC processor right for your embedded project?"
2679:
2560:
2233:
1785:
1682:
1531:
1473:
1384:
1340:, collectively "blocks", described above, together with software
1140:
966:, control execution of SoC functions and provide time context to
826:
664:
2065:
for some or all of their functions. This can be accomplished by
2014:
SoCs are optimized to maximize computational and communications
1487:
Traditionally, engineers have employed simulation acceleration,
1305:
7772:
6596:
6455:
6280:
6164:
6051:
5996:
5837:
5822:
5797:
4278:
4243:
4208:
2580:
2495:
2077:
and memories. In general, optimizing to minimize latency is an
1380:
884:
853:
714:
520:
494:
439:
2736:"Qualcomm's Snapdragon X60 promises smaller 5G modems in 2021"
2252:), but are also applied to application-specific tasks such as
2174:
is an important activity in any computer system with multiple
1808:
in recent years with user demands and expectations for higher-
1484:
found in the verification stage are reported to the designer.
1332:
Most SoCs are developed from pre-qualified hardware component
1159:
for on-chip communication, although they typically have fewer
806:
701:. In the mobile computing market, this is common, but in many
130:
95:
6366:
6346:
6305:
5959:
4736:
4268:
4238:
2926:"Gigabit Class LTE, 4G LTE and 5G Cellular Modems | Qualcomm"
2876:"ARM is going after Intel with new chip roadmap through 2020"
1464:, often quoted as 70%. With the growing complexity of chips,
1376:
990:
970:
applications of the SoC, if needed. Popular time sources are
818:
247:
220:
204:
3477:
2996:
2205:
Software running on SoCs often schedules tasks according to
1796:
are often executed on these devices, including video games,
1086:
does not scale with the number of cores attached, the SoC's
477:), the SoC. Some examples of mobile computing SoCs include:
6509:
6221:
5944:
5919:
5600:
4748:
4668:
4258:
3143:
2414:
2407:
SoCs can be fabricated by several technologies, including:
2182:
sharing a single processor core. It is important to reduce
1951:, creating less performant devices to mitigate the risk of
802:
327:
In general, there are three distinguishable types of SoCs:
242:
or perhaps several processor cores with peripherals like a
224:
212:
124:
118:
89:
83:
34:
6341:
5992:
4188:
4178:
2241:
852:. These may be able to interface with different types of
786:
414:
243:
1929:
and other performance degradation of the SoC over time.
785:. These are often based upon industry standards such as
3202:
1211:
choices as the number of cores in SoCs increase, so as
899:
and multimedia processing. DSP cores typically feature
457:
based SoCs always bundle processors, memories, on-chip
2999:
1601:
in some cases. Additionally, most SoC designs contain
1147:. Network-on-chip architectures take inspiration from
1143:, greater power efficiency and reduced possibility of
693:. Depending on the application, SoC memory may form a
2256:
and multimedia manipulations in the context of SoCs.
1701:
879:(DSP) cores are often included on SoCs. They perform
169:
interfaces, often alongside other components such as
133:
127:
121:
112:
92:
86:
77:
2228:Hardware and software tasks are often pipelined in
2155:Systems on chip are modeled with standard hardware
1967:, which cannot be effectively mitigated by uniform
115:
80:
3490:free SoC platform assembly and IP integration tool
3443:
3422:
3046:
1858:, but power is limited in most SoC environments.
1757:{\displaystyle P=IV={\frac {V^{2}}{R}}={I^{2}}{R}}
1756:
1593:are often required and it may be practical to use
911:, and are therefore highly amenable to exploiting
733:hierarchy, SRAM will usually be used to implement
558:A3010, A3020 and A4000 range of personal computers
3127:
1503:(FPGAs) are favored for prototyping SoCs because
406:, multimedia, networking, telecommunications and
153:. These components almost always include on-chip
7960:
3151:"Difference between Verification and Validation"
1108:In the late 2010s, a trend of SoCs implementing
3444:Kundu, Santanu; Chattopadhyay, Santanu (2014).
3389:Badawy, Wael; Jullien, Graham A., eds. (2003).
3108:"The 'why' and 'what' of algorithmic synthesis"
3047:Kundu, Santanu; Chattopadhyay, Santanu (2014).
2769:The why, where and what of low-power SoC design
2458:(SiP) comprising a number of chips in a single
1112:in terms of a network-like topology instead of
925:application-specific instruction set processors
864:. They may interface with application-specific
713:(RAM), Electrically Erasable Programmable ROM (
3173:
2606:Application-specific instruction set processor
1352:. The hardware blocks are put together using
758:
645:application-specific instruction set processor
586:communications integrated on chip (integrated
7656:
6660:Note: This template roughly follows the 2012
6636:
6078:
5704:
3761:
3538:
3388:
3253:
3237:." October 30, 2012. Retrieved July 28, 2015.
2950:
2948:
2946:
2771:." December 2, 2004. Retrieved July 28, 2015.
1603:multiple variables to optimize simultaneously
1348:that drive industry-standard interfaces like
958:As with other computer systems, SoCs require
3235:Tektronix hopes to shake up ASIC prototyping
1078:Wire delay is not scalable due to continued
1008:
871:
145:that integrates most or all components of a
4766:Computer performance by orders of magnitude
3448:(1st ed.). Boca Raton, FL: CRC Press.
3350:." March 21, 2005. Retrieved July 28, 2015.
3051:(1st ed.). Boca Raton, FL: CRC Press.
2232:. Pipelining is an important principle for
1550:in the event that the SoCs are produced as
1371:SoCs components are also often designed in
685:blocks to perform their computation, as do
7663:
7649:
6643:
6629:
6085:
6071:
5711:
5697:
3775:
3768:
3754:
3552:
3545:
3531:
3140:." June 14, 2004. Retrieved July 28, 2015.
2943:
2733:
1040:
7670:
3494:Systems on Chip for Embedded Applications
3392:System-on-Chip for Real-Time Applications
2370:Learn how and when to remove this message
2259:
2248:) and RISC processors (evolutions of the
1411:included in the SoC as modules in HDL as
1269:Learn how and when to remove this message
7999:Application-specific integrated circuits
2475:Some examples of systems on a chip are:
2221:For broader coverage of this topic, see
1788:. Customers want long battery lives for
1589:fairly easily. Therefore, sophisticated
1552:application-specific integrated circuits
1513:application-specific integrated circuits
1313:A system on a chip consists of both the
1304:
617:
473:will be placed right next to, or above (
438:
315:
273:area. This comes at the cost of reduced
44:
33:
3179:
2997:Haris Javaid; Sri Parameswaran (2014).
2308:variants. Markov chain modeling allows
1827:
1677:of power consumption is the product of
1673:consumed with respect to time, and the
1616:For broader coverage of trade-offs and
1326:
1116:protocols has emerged. A trend towards
1017:. These units must often send data and
347:application-specific integrated circuit
14:
7961:
7360:Knowledge representation and reasoning
6092:
3105:
2954:
2858:"Samsung Galaxy S10 and S10e Teardown"
1882:SoC designs are optimized to minimize
1868:Heat generation in integrated circuits
1542:onto a chip. This process is known as
1448:Signoff (electronic design automation)
1437:
7644:
7385:Philosophy of artificial intelligence
6624:
6066:
5692:
3749:
3526:
3295:Springer Science & Business Media
3249:
3247:
3245:
3243:
3042:
3040:
3038:
3036:
3034:
3032:
3030:
2992:
2990:
2988:
2986:
2984:
1557:
1213:three-dimensional integrated circuits
547:
527:, marketed as "Always Connected PCs".
362:and in applications where previously
6704:Energy consumption (Green computing)
6650:
4737:Floating-point operations per second
2714:
2511:
2352:adding citations to reliable sources
2323:
2195:
2117:
2025:
1978:
1632:
1251:adding citations to reliable sources
1222:
1097:
1067:of the SoC. This is similar to some
7390:Distributed artificial intelligence
6662:ACM Computing Classification System
3286:
2959:. Harlow, England: Addison-Wesley.
2727:
2163:on the above optimization targets.
2161:multiple-criteria decision analysis
2110:Multiple-criteria decision analysis
2069:elements with proper proximity and
1959:as length scales get smaller, each
1637:SoCs are optimized to minimize the
434:
369:
24:
6895:Integrated development environment
3513:MPSoC – Annual Conference on MPSoC
3382:
3240:
3180:Rittman, Danny (January 5, 2006).
3138:Is verification really 70 percent?
3106:Bowyer, Bryan (February 5, 2005).
3027:
2981:
2566:List of system on a chip suppliers
2166:
1366:integrated development environment
1071:of peripherals on component-based
725:(SRAM) and the slower but cheaper
622:
25:
8010:
7741:Hardware random number generation
7370:Automated planning and scheduling
6900:Software configuration management
3471:
2893:
2868:
2780:
1874:Thermal management in electronics
1570:, communication, positioning for
934:Typical DSP instructions include
931:that compute those instructions.
905:single instruction, multiple data
402:. Often embedded SoCs target the
7624:
7614:
7605:
7604:
5663:Semiconductor device fabrication
2734:Amadeo, R. (February 18, 2020).
2515:
2384:Semiconductor device fabrication
2328:
2291:
2122:
2099:
2029:
1982:
1764:SoCs are frequently embedded in
1373:high-level programming languages
1364:are integrated using a software
1227:
759:§ Intermodule communication
108:
73:
7615:
7018:Computational complexity theory
5638:History of general-purpose CPUs
3865:Nondeterministic Turing machine
3426:ARM system-on-chip architecture
3353:
3337:
3311:
3280:
3227:
3099:
3073:
2957:ARM system-on-chip architecture
2918:
2656:
2339:needs additional citations for
2264:SoCs are often analyzed though
2187:
2061:SoCs are optimized to minimize
1838:SoCs are optimized to maximize
1480:, and OpenVera are being used.
1466:hardware verification languages
1238:needs additional citations for
353:
342:, often found in mobile phones;
181:or microchip. SoCs may contain
6802:Network performance evaluation
3818:Deterministic finite automaton
2850:
2825:
2800:
2774:
2757:
2715:Shah, Agam (January 3, 2017).
2708:
2319:
2240:. They are frequently used in
2114:Architecture tradeoff analysis
2083:Boolean satisfiability problem
1940:is physically realizable from
1501:Field-programmable gate arrays
1336:for the hardware elements and
1318:
1218:
1063:, thereby increasing the data
1045:Historically, a shared global
997:generators. SoCs also include
741:whereas DRAM will be used for
627:An SoC must have at least one
177:(GPU) – all on a single
13:
1:
7173:Multimedia information system
7158:Geographic information system
7148:Enterprise information system
6737:Computer systems organization
4609:Simultaneous and heterogenous
3348:The Great Debate: SOC vs. SIP
3287:Lin, Youn-Long Steve (2007).
2701:
2666:, "shields" are analogous to
2507:
2426:Field-programmable gate array
2216:
1974:
1893:, heat generated due to high
1861:
1804:; all of which have grown in
1424:hardware description language
1287:Physical design (electronics)
913:instruction-level parallelism
909:instruction set architectures
772:
588:network interface controllers
7532:Computational social science
7120:Theoretical computer science
6933:Software development process
6709:Electronic design automation
6694:Very Large Scale Integration
5724:single-board microcontroller
5293:Integrated memory controller
5275:Translation lookaside buffer
4474:Memory dependence prediction
3917:Random-access stored program
3870:Probabilistic Turing machine
3509:SoC for FPGAs defined by C++
3484:International SoC Conference
3254:Ogrenci-Memik, Seda (2015).
2441:very-large-scale integration
2296:SoCs are often modeled with
2183:
2106:Multi-objective optimization
1358:electronic design automation
1189:genetic algorithm scheduling
1118:more processor cores on SoCs
1025:. Originally, as with other
846:digital-to-analog converters
598:An SoC consists of hardware
593:
288:SoCs are very common in the
254:radio modems or one or more
165:devices and interfaces, and
7:
7355:Natural language processing
7143:Information storage systems
4749:Synaptic updates per second
3423:Furber, Stephen B. (2000).
2955:Furber, Stephen B. (2000).
2554:
2470:
2157:verification and validation
1889:on the chip. As with other
1854:require a certain level of
1585:problem, and can indeed be
1511:and are more flexible than
1197:random walks with branching
651:that are customized for an
497:, used mainly by Samsung's
10:
8015:
7271:Human–computer interaction
7241:Intrusion detection system
7153:Social information systems
7138:Database management system
5153:Heterogeneous architecture
4075:Orthogonal instruction set
3845:Alternating Turing machine
3833:Quantum cellular automaton
3429:. Boston: Addison-Wesley.
3397:Kluwer Academic Publishers
2381:
2220:
2103:
2081:problem equivalent to the
2021:
1915:mean time between failures
1871:
1865:
1831:
1627:
1583:combinatorial optimization
1507:are reprogrammable, allow
1441:
1294:
1280:
1163:. Optimal network-on-chip
1101:
901:very long instruction word
836:When needed, SoCs include
729:(DRAM). When an SoC has a
674:
283:computer hardware industry
29:Micro-electronic component
7896:
7843:
7793:
7736:Digital signal processing
7706:
7678:
7600:
7537:Computational engineering
7512:Computational mathematics
7489:
7436:
7398:
7345:
7307:
7269:
7211:
7128:
7074:
7036:
6981:
6918:
6851:
6815:
6772:
6736:
6669:
6658:
6543:
6490:
6479:
6438:
6412:
6405:
6324:
6195:
6100:
6039:
5991:
5973:
5885:
5876:
5730:
5643:Microprocessor chronology
5630:
5606:Dynamic frequency scaling
5579:
5515:
5453:
5407:
5359:
5314:
5234:
5161:
5130:
5035:
4956:
4920:
4874:
4774:
4761:Cache performance metrics
4700:
4634:
4584:
4495:
4486:
4459:
4414:
4381:
4353:
4344:
4164:
4067:
4056:
3927:
3783:
3679:
3650:
3615:
3561:
3233:Brian Bailey, EE Times. "
2449:non-recurring engineering
2394:metal–oxide–semiconductor
2314:steady state distribution
2254:digital signal processing
1856:computational performance
1456:. This process is called
1327:§ Optimization goals
1132:" (NoCs) to overcome the
1110:communications subsystems
1023:communications subsystems
1009:Intermodule communication
872:Digital signal processors
670:
535:, typically based on ARM
376:mean time between failure
7688:Universal Turing machine
7547:Computational healthcare
7542:Differentiable computing
7461:Graphics processing unit
6880:Domain-specific language
6749:Computational complexity
6177:Circuit underutilization
6160:Reconfigurable computing
5658:Hardware security module
5001:Digital signal processor
4978:Graphics processing unit
4790:Graphics processing unit
3709:Digital signal processor
3586:Graphics processing unit
2674:. They often fit over a
2649:
2624:in biomedical technology
2549:research and development
2492:'s Epiphany architecture
2388:SoC chips are typically
2282:Poisson random variables
1806:computational complexity
1622:requirements engineering
1595:approximation algorithms
953:
877:Digital signal processor
831:near-field communication
647:(ASIP) core. ASIPs have
641:digital signal processor
612:communications subsystem
311:
175:graphics processing unit
49:A system on a chip from
7746:Artificial intelligence
7522:Computational chemistry
7456:Photograph manipulation
7347:Artificial intelligence
7163:Decision support system
5611:Dynamic voltage scaling
5394:Memory address register
5288:Branch target predictor
5252:Address generation unit
4995:Physics processing unit
4784:Central processing unit
4743:Transactions per second
4731:Instructions per second
4654:Array processing (SIMT)
3798:Stored-program computer
3182:"Nanometer prototyping"
2576:ARM architecture family
2571:Post-silicon validation
1794:Multimedia applications
1659:total cost of ownership
1591:optimization algorithms
1493:reprogrammable hardware
1458:functional verification
1444:Functional verification
1428:register transfer level
1301:Software design process
1283:Electronics design flow
1157:Internet protocol suite
1149:communication protocols
1136:of bus-based networks.
1041:Bus-based communication
883:operations in SoCs for
833:may also be supported.
783:communication protocols
751:has multiple processors
465:capabilities and often
449:Nokia 9000 Communicator
323:-based system on a chip
155:central processing unit
7768:Custom hardware attack
7587:Educational technology
7418:Reinforcement learning
7168:Process control system
7066:Computational geometry
7056:Algorithmic efficiency
7051:Analysis of algorithms
6699:Systems on Chip (SoCs)
5417:Hardwired control unit
5299:Memory management unit
5264:Memory management unit
5013:Secure cryptoprocessor
5007:Tensor Processing Unit
4989:Vision processing unit
4723:Cycles per instruction
4717:Instructions per cycle
4664:Associative processing
4355:Instruction pipelining
3777:Processor technologies
3155:Software Testing Class
2260:Probabilistic modeling
2196:§ Processor cores
1848:distributed processing
1774:GPS navigation devices
1758:
1462:chip design life cycle
1334:IP core specifications
1310:
940:Fast Fourier transform
777:SoCs include external
451:
425:Zynq UltraScale+ RFSoC
422:Zynq UltraScale+ MPSoC
324:
57:
42:
7989:Hardware acceleration
7698:Distributed computing
7672:Hardware acceleration
7557:Electronic publishing
7527:Computational biology
7517:Computational physics
7413:Unsupervised learning
7327:Distributed computing
7203:Information retrieval
7110:Mathematical analysis
7100:Mathematical software
6983:Theory of computation
6948:Software construction
6938:Requirements analysis
6816:Software organization
6744:Computer architecture
6714:Hardware acceleration
6679:Printed circuit board
6187:Hardware acceleration
5720:Single-board computer
5500:Sum-addressed decoder
5246:Arithmetic logic unit
4373:Classic RISC pipeline
4327:Epiphany architecture
4174:Motorola 68000 series
3725:List of SoC suppliers
2684:single-board computer
2644:Hardware acceleration
2612:Platform-based design
2586:Single-board computer
2382:Further information:
2250:classic RISC pipeline
2238:computer architecture
2211:randomized scheduling
2104:Further information:
1942:fabrication processes
1759:
1661:of the SoC. Finally,
1618:requirements analysis
1454:semiconductor foundry
1442:Further information:
1354:computer-aided design
1308:
1291:Platform-based design
1193:randomized algorithms
1165:network architectures
921:superscalar execution
840:interfaces including
757:and must be sent via
753:, in this case it is
675:Further information:
618:Functional components
501:series of smartphones
488:, typically based on
442:
319:
269:as well as a smaller
48:
37:
7974:Computer engineering
7801:High-level synthesis
7317:Concurrent computing
7289:Ubiquitous computing
7261:Application security
7256:Information security
7085:Discrete mathematics
7061:Randomized algorithm
7013:Computability theory
6991:Model of computation
6963:Software maintenance
6958:Software engineering
6920:Software development
6870:Programming language
6865:Programming paradigm
6782:Network architecture
6377:Microchip Technology
6182:High-level synthesis
5621:Performance per watt
5199:replacement policies
4865:Package on a package
4755:Performance per watt
4659:Pipelined processing
4429:Tomasulo's algorithm
4234:Clipper architecture
4090:Application-specific
3803:Finite-state machine
3670:Package on a package
2348:improve this article
2266:probabilistic models
2223:Pipeline (computing)
2194:running on an SoC's
1957:transistor densities
1953:catastrophic failure
1878:Thermal design power
1852:ambient intelligence
1828:Performance per watt
1699:
1409:soft-core processors
1395:(HLS) tools such as
1393:high-level synthesis
1356:tools, specifically
1247:improve this article
1057:Direct memory access
711:random-access memory
683:semiconductor memory
400:ambient intelligence
338:SoCs built around a
331:SoCs built around a
7926:Digital electronics
7878:In-memory computing
7858:Transport triggered
7592:Document management
7582:Operations research
7507:Enterprise software
7423:Multi-task learning
7408:Supervised learning
7130:Information systems
6953:Software deployment
6910:Software repository
6764:Real-time computing
6446:Intel Quartus Prime
6172:Soft microprocessor
5955:Qualcomm Snapdragon
5653:Digital electronics
5306:Instruction decoder
5258:Floating-point unit
4912:Soft microprocessor
4859:System in a package
4434:Reservation station
3964:Transport-triggered
3664:System in a package
2591:System in a package
2502:Qualcomm Snapdragon
2439:However, like most
2310:asymptotic analysis
1955:. Due to increased
1891:integrated circuits
1685:. Equivalently, by
1562:SoCs must optimize
1438:Design verification
1329:) and constraints.
1088:operating frequency
1013:SoCs comprise many
989:-timers, real-time
972:crystal oscillators
936:multiply-accumulate
917:parallel processing
815:Wireless networking
735:processor registers
482:Samsung Electronics
463:wireless networking
428:Versal Adaptive SoC
7936:Hardware emulation
7904:Programmable logic
7693:Parallel computing
7375:Search methodology
7322:Parallel computing
7279:Interaction design
7188:Computing platform
7115:Numerical analysis
7105:Information theory
6890:Software framework
6853:Software notations
6792:Network components
6689:Integrated circuit
6094:Programmable logic
5525:Integrated circuit
5369:Processor register
5023:Baseband processor
4368:Operand forwarding
3828:Cellular automaton
3629:Multiprocessor SoC
2781:Nolan, Stephen M.
2633:Parallel computing
2527:. You can help by
2207:network scheduling
2135:. You can help by
2041:. You can help by
1994:. You can help by
1961:process generation
1938:transistor density
1822:multiple standards
1754:
1655:networked together
1613:in system design.
1558:Optimization goals
1491:or prototyping on
1405:computer engineers
1311:
1169:network topologies
1084:system performance
1075:PC architectures.
999:voltage regulators
976:phase-locked loops
944:fused multiply-add
817:protocols such as
755:distributed memory
653:application domain
548:Personal computers
475:package on package
452:
404:internet of things
325:
217:package on package
143:integrated circuit
58:
43:
7979:Electronic design
7956:
7955:
7833:Network on a chip
7638:
7637:
7567:Electronic voting
7497:Quantum Computing
7490:Applied computing
7476:Image compression
7246:Hardware security
7236:Security services
7193:Digital marketing
6973:Open-source model
6885:Modeling language
6797:Network scheduler
6618:
6617:
6614:
6613:
6610:
6609:
6397:Texas Instruments
6060:
6059:
6035:
6034:
5748:Asus Tinker Board
5686:
5685:
5575:
5574:
5194:Instruction cache
5184:Scratchpad memory
5031:
5030:
5018:Network processor
4947:Network on a chip
4902:Ultra-low-voltage
4853:Multi-chip module
4696:
4695:
4482:
4481:
4469:Branch prediction
4446:Register renaming
4340:
4339:
4322:VISC architecture
4144:Quantum computing
4139:VISC architecture
4021:Secondary storage
3937:Microarchitecture
3897:Register machines
3743:
3742:
3658:Multi-chip module
3623:Network on a chip
3498:Auburn University
3455:978-1-4665-6527-2
3406:978-1-4020-7254-3
3304:978-1-4020-5352-8
3265:978-1-84919-935-3
3157:. August 26, 2013
3087:. August 25, 2011
3058:978-1-4665-6527-2
3012:978-3-319-01113-4
2905:www.microsoft.com
2837:www.imveurope.com
2628:Multi-chip module
2596:Network on a chip
2545:
2544:
2456:system in package
2380:
2379:
2372:
2286:Poisson processes
2270:queueing networks
2246:graphics pipeline
2192:embedded software
2188:§ Throughput
2153:
2152:
2059:
2058:
2012:
2011:
1934:transistor counts
1732:
1633:Power consumption
1576:multi-chip module
1387:and converted to
1279:
1278:
1271:
1104:Network on a chip
1098:Network on a chip
1094:systems on chip.
1073:multi-chip module
968:signal processing
881:signal processing
862:smart transducers
850:signal processing
842:analog-to-digital
443:System on a chip
396:vector processing
271:semiconductor die
267:power consumption
198:signal processing
167:secondary storage
151:electronic system
16:(Redirected from
8006:
7994:Computer systems
7969:System on a chip
7948:Embedded systems
7828:System on a chip
7665:
7658:
7651:
7642:
7641:
7628:
7627:
7618:
7617:
7608:
7607:
7428:Cross-validation
7400:Machine learning
7284:Social computing
7251:Network security
7046:Algorithm design
6968:Programming team
6928:Control variable
6905:Software library
6843:Software quality
6838:Operating system
6787:Network protocol
6652:Computer science
6645:
6638:
6631:
6622:
6621:
6488:
6487:
6410:
6409:
6087:
6080:
6073:
6064:
6063:
5883:
5882:
5713:
5706:
5699:
5690:
5689:
5648:Processor design
5540:Power management
5422:Instruction unit
5283:Branch predictor
5232:
5231:
4930:System on a chip
4872:
4871:
4712:Transistor count
4636:Flynn's taxonomy
4493:
4492:
4351:
4350:
4154:Addressing modes
4065:
4064:
4011:Memory hierarchy
3875:Hypercomputation
3793:Abstract machine
3770:
3763:
3756:
3747:
3746:
3730:Mobile computing
3715:Embedded systems
3635:Programmable SoC
3554:System on a chip
3547:
3540:
3533:
3524:
3523:
3518:Annual Symposium
3467:
3440:
3418:
3376:
3375:
3373:
3371:
3357:
3351:
3341:
3335:
3334:
3332:
3330:
3315:
3309:
3308:
3284:
3278:
3277:
3251:
3238:
3231:
3225:
3224:
3222:
3220:
3214:Design And Reuse
3206:
3200:
3199:
3197:
3195:
3186:
3177:
3171:
3170:
3164:
3162:
3147:
3141:
3131:
3125:
3124:
3122:
3120:
3103:
3097:
3096:
3094:
3092:
3077:
3071:
3070:
3044:
3025:
3024:
2994:
2979:
2978:
2952:
2941:
2940:
2938:
2936:
2922:
2916:
2915:
2913:
2911:
2897:
2891:
2890:
2888:
2886:
2872:
2866:
2865:
2864:. March 6, 2019.
2854:
2848:
2847:
2845:
2843:
2829:
2823:
2822:
2820:
2818:
2804:
2798:
2797:
2795:
2793:
2787:Design And Reuse
2778:
2772:
2761:
2755:
2754:
2752:
2750:
2731:
2725:
2724:
2712:
2695:
2690:and function as
2664:embedded systems
2660:
2540:
2537:
2519:
2512:
2375:
2368:
2364:
2361:
2355:
2332:
2324:
2276:. For instance,
2230:processor design
2200:shared resources
2148:
2145:
2126:
2118:
2075:functional units
2054:
2051:
2033:
2026:
2007:
2004:
1986:
1979:
1919:electromigration
1911:stress migration
1840:power efficiency
1802:image processing
1790:mobile computing
1766:portable devices
1763:
1761:
1760:
1755:
1753:
1748:
1747:
1746:
1733:
1728:
1727:
1718:
1639:electrical power
1607:Pareto efficient
1391:designs through
1362:software modules
1319:§ Structure
1274:
1267:
1263:
1260:
1254:
1231:
1223:
1130:networks on chip
1126:packet switching
1035:networks-on-chip
1003:power management
929:functional units
781:, typically for
707:read-only memory
695:memory hierarchy
691:embedded systems
687:microcontrollers
657:ARM architecture
649:instruction sets
600:functional units
584:wireless network
571:of hardware and
521:laptop computers
455:Mobile computing
435:Mobile computing
370:Embedded systems
364:microcontrollers
360:embedded systems
302:tablet computers
290:mobile computing
252:cellular network
140:
139:
136:
135:
132:
129:
126:
123:
120:
117:
114:
102:
101:
98:
97:
94:
91:
88:
85:
82:
79:
62:system on a chip
41:system on a chip
21:
8014:
8013:
8009:
8008:
8007:
8005:
8004:
8003:
7984:Microtechnology
7959:
7958:
7957:
7952:
7943:Logic synthesis
7892:
7839:
7794:Implementations
7789:
7702:
7674:
7669:
7639:
7634:
7625:
7596:
7577:Word processing
7485:
7471:Virtual reality
7432:
7394:
7365:Computer vision
7341:
7337:Multiprocessing
7303:
7265:
7231:Security hacker
7207:
7183:Digital library
7124:
7075:Mathematics of
7070:
7032:
7008:Automata theory
7003:Formal language
6977:
6943:Software design
6914:
6847:
6833:Virtual machine
6811:
6807:Network service
6768:
6759:Embedded system
6732:
6665:
6654:
6649:
6619:
6606:
6539:
6482:
6475:
6434:
6401:
6320:
6191:
6096:
6091:
6061:
6056:
6031:
5987:
5969:
5872:
5726:
5717:
5687:
5682:
5668:Tick–tock model
5626:
5582:
5571:
5511:
5495:Address decoder
5449:
5403:
5399:Program counter
5374:Status register
5355:
5310:
5270:Load–store unit
5237:
5230:
5157:
5126:
5027:
4984:Image processor
4959:
4952:
4922:
4916:
4892:Microcontroller
4882:Embedded system
4870:
4770:
4703:
4692:
4630:
4580:
4478:
4455:
4439:Re-order buffer
4410:
4391:Data dependency
4377:
4336:
4166:
4160:
4059:
4058:Instruction set
4052:
4038:Multiprocessing
4006:Cache hierarchy
3999:Register/memory
3923:
3823:Queue automaton
3779:
3774:
3744:
3739:
3675:
3646:
3641:Microcontroller
3611:
3597:Media processor
3592:Image processor
3557:
3551:
3474:
3456:
3437:
3407:
3385:
3383:Further reading
3380:
3379:
3369:
3367:
3359:
3358:
3354:
3342:
3338:
3328:
3326:
3325:. July 17, 2018
3317:
3316:
3312:
3305:
3297:. p. 176.
3285:
3281:
3266:
3252:
3241:
3232:
3228:
3218:
3216:
3208:
3207:
3203:
3193:
3191:
3184:
3178:
3174:
3160:
3158:
3149:
3148:
3144:
3132:
3128:
3118:
3116:
3104:
3100:
3090:
3088:
3079:
3078:
3074:
3059:
3045:
3028:
3013:
2995:
2982:
2967:
2953:
2944:
2934:
2932:
2924:
2923:
2919:
2909:
2907:
2899:
2898:
2894:
2884:
2882:
2880:Windows Central
2874:
2873:
2869:
2856:
2855:
2851:
2841:
2839:
2831:
2830:
2826:
2816:
2814:
2806:
2805:
2801:
2791:
2789:
2779:
2775:
2762:
2758:
2748:
2746:
2732:
2728:
2713:
2709:
2704:
2699:
2698:
2694:for the device.
2676:microcontroller
2668:expansion cards
2661:
2657:
2652:
2640:co-architecture
2622:Organ-on-a-chip
2557:
2541:
2535:
2532:
2525:needs expansion
2510:
2498:Zynq UltraScale
2473:
2398:place and route
2386:
2376:
2365:
2359:
2356:
2345:
2333:
2322:
2306:continuous time
2294:
2262:
2226:
2219:
2172:Task scheduling
2169:
2167:Task scheduling
2149:
2143:
2140:
2133:needs expansion
2127:
2116:
2102:
2094:task scheduling
2055:
2049:
2046:
2039:needs expansion
2024:
2008:
2002:
1999:
1992:needs expansion
1977:
1969:passive cooling
1903:miniaturization
1880:
1870:
1864:
1836:
1834:Green computing
1830:
1818:high resolution
1798:video streaming
1749:
1742:
1738:
1737:
1723:
1719:
1717:
1700:
1697:
1696:
1635:
1630:
1560:
1544:place and route
1528:logic synthesis
1505:FPGA prototypes
1450:
1440:
1346:protocol stacks
1338:execution units
1317:, described in
1309:SoC design flow
1303:
1293:
1281:Main articles:
1275:
1264:
1258:
1255:
1244:
1232:
1221:
1199:and randomized
1106:
1100:
1080:miniaturization
1043:
1015:execution units
1011:
956:
893:data collection
874:
775:
763:cache coherence
749:) when the SoC
739:built-in caches
699:cache hierarchy
681:SoCs must have
679:
677:Computer memory
673:
661:soft processors
633:microcontroller
625:
623:Processor cores
620:
610:, as well as a
604:microprocessors
596:
554:Acorn Computers
550:
437:
388:data collection
380:AI acceleration
372:
366:would be used.
356:
333:microcontroller
321:Microcontroller
314:
236:microcontroller
195:radio frequency
111:
107:
76:
72:
30:
23:
22:
15:
12:
11:
5:
8012:
8002:
8001:
7996:
7991:
7986:
7981:
7976:
7971:
7954:
7953:
7951:
7950:
7945:
7940:
7939:
7938:
7931:Virtualization
7928:
7923:
7922:
7921:
7916:
7906:
7900:
7898:
7894:
7893:
7891:
7890:
7885:
7883:Systolic array
7880:
7875:
7870:
7865:
7860:
7855:
7849:
7847:
7841:
7840:
7838:
7837:
7836:
7835:
7825:
7820:
7815:
7810:
7809:
7808:
7797:
7795:
7791:
7790:
7788:
7787:
7782:
7777:
7776:
7775:
7765:
7763:Machine vision
7760:
7759:
7758:
7748:
7743:
7738:
7733:
7728:
7727:
7726:
7721:
7710:
7708:
7704:
7703:
7701:
7700:
7695:
7690:
7684:
7682:
7676:
7675:
7668:
7667:
7660:
7653:
7645:
7636:
7635:
7633:
7632:
7622:
7612:
7601:
7598:
7597:
7595:
7594:
7589:
7584:
7579:
7574:
7569:
7564:
7559:
7554:
7549:
7544:
7539:
7534:
7529:
7524:
7519:
7514:
7509:
7504:
7499:
7493:
7491:
7487:
7486:
7484:
7483:
7481:Solid modeling
7478:
7473:
7468:
7463:
7458:
7453:
7448:
7442:
7440:
7434:
7433:
7431:
7430:
7425:
7420:
7415:
7410:
7404:
7402:
7396:
7395:
7393:
7392:
7387:
7382:
7380:Control method
7377:
7372:
7367:
7362:
7357:
7351:
7349:
7343:
7342:
7340:
7339:
7334:
7332:Multithreading
7329:
7324:
7319:
7313:
7311:
7305:
7304:
7302:
7301:
7296:
7291:
7286:
7281:
7275:
7273:
7267:
7266:
7264:
7263:
7258:
7253:
7248:
7243:
7238:
7233:
7228:
7226:Formal methods
7223:
7217:
7215:
7209:
7208:
7206:
7205:
7200:
7198:World Wide Web
7195:
7190:
7185:
7180:
7175:
7170:
7165:
7160:
7155:
7150:
7145:
7140:
7134:
7132:
7126:
7125:
7123:
7122:
7117:
7112:
7107:
7102:
7097:
7092:
7087:
7081:
7079:
7072:
7071:
7069:
7068:
7063:
7058:
7053:
7048:
7042:
7040:
7034:
7033:
7031:
7030:
7025:
7020:
7015:
7010:
7005:
7000:
6999:
6998:
6987:
6985:
6979:
6978:
6976:
6975:
6970:
6965:
6960:
6955:
6950:
6945:
6940:
6935:
6930:
6924:
6922:
6916:
6915:
6913:
6912:
6907:
6902:
6897:
6892:
6887:
6882:
6877:
6872:
6867:
6861:
6859:
6849:
6848:
6846:
6845:
6840:
6835:
6830:
6825:
6819:
6817:
6813:
6812:
6810:
6809:
6804:
6799:
6794:
6789:
6784:
6778:
6776:
6770:
6769:
6767:
6766:
6761:
6756:
6751:
6746:
6740:
6738:
6734:
6733:
6731:
6730:
6721:
6716:
6711:
6706:
6701:
6696:
6691:
6686:
6681:
6675:
6673:
6667:
6666:
6659:
6656:
6655:
6648:
6647:
6640:
6633:
6625:
6616:
6615:
6612:
6611:
6608:
6607:
6605:
6604:
6599:
6594:
6593:
6592:
6587:
6577:
6576:
6575:
6565:
6560:
6555:
6549:
6547:
6541:
6540:
6538:
6537:
6532:
6527:
6522:
6517:
6512:
6507:
6502:
6496:
6494:
6485:
6477:
6476:
6474:
6473:
6468:
6463:
6458:
6453:
6448:
6442:
6440:
6436:
6435:
6433:
6432:
6427:
6422:
6416:
6414:
6407:
6403:
6402:
6400:
6399:
6394:
6389:
6384:
6379:
6374:
6369:
6364:
6359:
6354:
6349:
6344:
6339:
6334:
6328:
6326:
6322:
6321:
6319:
6318:
6313:
6308:
6303:
6298:
6293:
6288:
6283:
6278:
6273:
6268:
6263:
6258:
6253:
6248:
6247:
6246:
6236:
6235:
6234:
6229:
6219:
6218:
6217:
6212:
6201:
6199:
6193:
6192:
6190:
6189:
6184:
6179:
6174:
6169:
6168:
6167:
6157:
6152:
6147:
6142:
6137:
6132:
6127:
6126:
6125:
6115:
6110:
6104:
6102:
6098:
6097:
6090:
6089:
6082:
6075:
6067:
6058:
6057:
6055:
6054:
6049:
6043:
6041:
6037:
6036:
6033:
6032:
6030:
6029:
6024:
6018:
6012:
6007:
6001:
5999:
5989:
5988:
5986:
5985:
5979:
5977:
5971:
5970:
5968:
5967:
5962:
5957:
5952:
5947:
5942:
5937:
5932:
5927:
5922:
5917:
5912:
5907:
5902:
5897:
5891:
5889:
5880:
5874:
5873:
5871:
5870:
5865:
5860:
5855:
5850:
5845:
5840:
5835:
5830:
5825:
5820:
5815:
5810:
5805:
5800:
5795:
5790:
5785:
5780:
5775:
5770:
5765:
5760:
5755:
5750:
5745:
5740:
5734:
5732:
5728:
5727:
5716:
5715:
5708:
5701:
5693:
5684:
5683:
5681:
5680:
5675:
5673:Pin grid array
5670:
5665:
5660:
5655:
5650:
5645:
5640:
5634:
5632:
5628:
5627:
5625:
5624:
5618:
5613:
5608:
5603:
5598:
5593:
5587:
5585:
5577:
5576:
5573:
5572:
5570:
5569:
5564:
5559:
5554:
5549:
5544:
5543:
5542:
5537:
5532:
5521:
5519:
5513:
5512:
5510:
5509:
5507:Barrel shifter
5504:
5503:
5502:
5497:
5490:Binary decoder
5487:
5486:
5485:
5475:
5470:
5465:
5459:
5457:
5451:
5450:
5448:
5447:
5442:
5434:
5429:
5424:
5419:
5413:
5411:
5405:
5404:
5402:
5401:
5396:
5391:
5386:
5381:
5379:Stack register
5376:
5371:
5365:
5363:
5357:
5356:
5354:
5353:
5352:
5351:
5346:
5336:
5331:
5326:
5320:
5318:
5312:
5311:
5309:
5308:
5303:
5302:
5301:
5290:
5285:
5280:
5279:
5278:
5272:
5261:
5255:
5249:
5242:
5240:
5229:
5228:
5223:
5218:
5213:
5208:
5207:
5206:
5201:
5196:
5191:
5186:
5181:
5171:
5165:
5163:
5159:
5158:
5156:
5155:
5150:
5145:
5140:
5134:
5132:
5128:
5127:
5125:
5124:
5123:
5122:
5112:
5107:
5102:
5097:
5092:
5087:
5082:
5077:
5072:
5067:
5062:
5057:
5052:
5047:
5041:
5039:
5033:
5032:
5029:
5028:
5026:
5025:
5020:
5015:
5010:
5004:
4998:
4992:
4986:
4981:
4975:
4973:AI accelerator
4970:
4964:
4962:
4954:
4953:
4951:
4950:
4944:
4939:
4936:Multiprocessor
4933:
4926:
4924:
4918:
4917:
4915:
4914:
4909:
4904:
4899:
4894:
4889:
4887:Microprocessor
4884:
4878:
4876:
4875:By application
4869:
4868:
4862:
4856:
4850:
4845:
4840:
4835:
4830:
4825:
4820:
4818:Tile processor
4815:
4810:
4805:
4800:
4799:
4798:
4787:
4780:
4778:
4772:
4771:
4769:
4768:
4763:
4758:
4752:
4746:
4740:
4734:
4728:
4727:
4726:
4714:
4708:
4706:
4698:
4697:
4694:
4693:
4691:
4690:
4689:
4688:
4678:
4673:
4672:
4671:
4666:
4661:
4656:
4646:
4640:
4638:
4632:
4631:
4629:
4628:
4623:
4618:
4613:
4612:
4611:
4606:
4604:Hyperthreading
4596:
4590:
4588:
4586:Multithreading
4582:
4581:
4579:
4578:
4573:
4568:
4567:
4566:
4556:
4555:
4554:
4549:
4539:
4538:
4537:
4532:
4522:
4517:
4516:
4515:
4510:
4499:
4497:
4490:
4484:
4483:
4480:
4479:
4477:
4476:
4471:
4465:
4463:
4457:
4456:
4454:
4453:
4448:
4443:
4442:
4441:
4436:
4426:
4420:
4418:
4412:
4411:
4409:
4408:
4403:
4398:
4393:
4387:
4385:
4379:
4378:
4376:
4375:
4370:
4365:
4363:Pipeline stall
4359:
4357:
4348:
4342:
4341:
4338:
4337:
4335:
4334:
4329:
4324:
4319:
4316:
4315:
4314:
4312:z/Architecture
4309:
4304:
4299:
4291:
4286:
4281:
4276:
4271:
4266:
4261:
4256:
4251:
4246:
4241:
4236:
4231:
4230:
4229:
4224:
4219:
4211:
4206:
4201:
4196:
4191:
4186:
4181:
4176:
4170:
4168:
4162:
4161:
4159:
4158:
4157:
4156:
4146:
4141:
4136:
4131:
4126:
4121:
4116:
4115:
4114:
4104:
4103:
4102:
4092:
4087:
4082:
4077:
4071:
4069:
4062:
4054:
4053:
4051:
4050:
4045:
4040:
4035:
4030:
4025:
4024:
4023:
4018:
4016:Virtual memory
4008:
4003:
4002:
4001:
3996:
3991:
3986:
3976:
3971:
3966:
3961:
3956:
3955:
3954:
3944:
3939:
3933:
3931:
3925:
3924:
3922:
3921:
3920:
3919:
3914:
3909:
3904:
3894:
3889:
3884:
3883:
3882:
3877:
3872:
3867:
3862:
3857:
3852:
3847:
3840:Turing machine
3837:
3836:
3835:
3830:
3825:
3820:
3815:
3810:
3800:
3795:
3789:
3787:
3781:
3780:
3773:
3772:
3765:
3758:
3750:
3741:
3740:
3738:
3737:
3735:Unified memory
3732:
3727:
3722:
3717:
3712:
3706:
3701:
3700:
3699:
3694:
3683:
3681:
3677:
3676:
3674:
3673:
3667:
3661:
3654:
3652:
3648:
3647:
3645:
3644:
3638:
3632:
3626:
3619:
3617:
3613:
3612:
3610:
3609:
3604:
3602:AI accelerator
3599:
3594:
3589:
3583:
3582:
3581:
3576:
3569:Microprocessor
3565:
3563:
3559:
3558:
3550:
3549:
3542:
3535:
3527:
3521:
3520:
3515:
3510:
3504:
3491:
3485:
3473:
3472:External links
3470:
3469:
3468:
3454:
3441:
3435:
3420:
3405:
3384:
3381:
3378:
3377:
3365:www.ece.ust.hk
3352:
3336:
3310:
3303:
3279:
3264:
3239:
3226:
3201:
3172:
3142:
3126:
3098:
3072:
3057:
3026:
3011:
2980:
2965:
2942:
2917:
2892:
2867:
2849:
2824:
2799:
2773:
2763:Pete Bennett,
2756:
2726:
2706:
2705:
2703:
2700:
2697:
2696:
2654:
2653:
2651:
2648:
2647:
2646:
2641:
2638:ARM big.LITTLE
2635:
2630:
2625:
2619:
2614:
2609:
2603:
2598:
2593:
2588:
2583:
2578:
2573:
2568:
2563:
2556:
2553:
2543:
2542:
2522:
2520:
2509:
2506:
2505:
2504:
2499:
2493:
2487:
2485:Cell processor
2482:
2480:Apple A series
2472:
2469:
2430:
2429:
2423:
2417:
2378:
2377:
2336:
2334:
2327:
2321:
2318:
2293:
2290:
2261:
2258:
2218:
2215:
2184:§ Latency
2168:
2165:
2151:
2150:
2130:
2128:
2121:
2101:
2098:
2057:
2056:
2036:
2034:
2023:
2020:
2010:
2009:
1989:
1987:
1976:
1973:
1949:design margins
1866:Main article:
1863:
1860:
1844:edge computing
1829:
1826:
1752:
1745:
1741:
1736:
1731:
1726:
1722:
1716:
1713:
1710:
1707:
1704:
1634:
1631:
1629:
1626:
1559:
1556:
1439:
1436:
1342:device drivers
1297:Systems design
1277:
1276:
1235:
1233:
1226:
1220:
1217:
1161:network layers
1145:bus contention
1102:Main article:
1099:
1096:
1069:device drivers
1042:
1039:
1029:technologies,
1010:
1007:
995:power-on reset
960:timing sources
955:
952:
873:
870:
774:
771:
767:memory latency
672:
669:
637:microprocessor
629:processor core
624:
621:
619:
616:
595:
592:
549:
546:
545:
544:
543:
542:
530:
529:
528:
504:
503:
502:
467:digital camera
436:
433:
432:
431:
430:
429:
426:
423:
420:
408:edge computing
384:machine vision
371:
368:
355:
352:
351:
350:
343:
340:microprocessor
336:
313:
310:
306:edge computing
275:replaceability
240:microprocessor
66:system-on-chip
28:
18:System on chip
9:
6:
4:
3:
2:
8011:
8000:
7997:
7995:
7992:
7990:
7987:
7985:
7982:
7980:
7977:
7975:
7972:
7970:
7967:
7966:
7964:
7949:
7946:
7944:
7941:
7937:
7934:
7933:
7932:
7929:
7927:
7924:
7920:
7917:
7915:
7912:
7911:
7910:
7907:
7905:
7902:
7901:
7899:
7895:
7889:
7886:
7884:
7881:
7879:
7876:
7874:
7873:Heterogeneous
7871:
7869:
7866:
7864:
7861:
7859:
7856:
7854:
7851:
7850:
7848:
7846:
7845:Architectures
7842:
7834:
7831:
7830:
7829:
7826:
7824:
7821:
7819:
7816:
7814:
7811:
7807:
7804:
7803:
7802:
7799:
7798:
7796:
7792:
7786:
7783:
7781:
7778:
7774:
7771:
7770:
7769:
7766:
7764:
7761:
7757:
7754:
7753:
7752:
7749:
7747:
7744:
7742:
7739:
7737:
7734:
7732:
7729:
7725:
7722:
7720:
7717:
7716:
7715:
7712:
7711:
7709:
7705:
7699:
7696:
7694:
7691:
7689:
7686:
7685:
7683:
7681:
7677:
7673:
7666:
7661:
7659:
7654:
7652:
7647:
7646:
7643:
7631:
7623:
7621:
7613:
7611:
7603:
7602:
7599:
7593:
7590:
7588:
7585:
7583:
7580:
7578:
7575:
7573:
7570:
7568:
7565:
7563:
7560:
7558:
7555:
7553:
7550:
7548:
7545:
7543:
7540:
7538:
7535:
7533:
7530:
7528:
7525:
7523:
7520:
7518:
7515:
7513:
7510:
7508:
7505:
7503:
7500:
7498:
7495:
7494:
7492:
7488:
7482:
7479:
7477:
7474:
7472:
7469:
7467:
7466:Mixed reality
7464:
7462:
7459:
7457:
7454:
7452:
7449:
7447:
7444:
7443:
7441:
7439:
7435:
7429:
7426:
7424:
7421:
7419:
7416:
7414:
7411:
7409:
7406:
7405:
7403:
7401:
7397:
7391:
7388:
7386:
7383:
7381:
7378:
7376:
7373:
7371:
7368:
7366:
7363:
7361:
7358:
7356:
7353:
7352:
7350:
7348:
7344:
7338:
7335:
7333:
7330:
7328:
7325:
7323:
7320:
7318:
7315:
7314:
7312:
7310:
7306:
7300:
7299:Accessibility
7297:
7295:
7294:Visualization
7292:
7290:
7287:
7285:
7282:
7280:
7277:
7276:
7274:
7272:
7268:
7262:
7259:
7257:
7254:
7252:
7249:
7247:
7244:
7242:
7239:
7237:
7234:
7232:
7229:
7227:
7224:
7222:
7219:
7218:
7216:
7214:
7210:
7204:
7201:
7199:
7196:
7194:
7191:
7189:
7186:
7184:
7181:
7179:
7176:
7174:
7171:
7169:
7166:
7164:
7161:
7159:
7156:
7154:
7151:
7149:
7146:
7144:
7141:
7139:
7136:
7135:
7133:
7131:
7127:
7121:
7118:
7116:
7113:
7111:
7108:
7106:
7103:
7101:
7098:
7096:
7093:
7091:
7088:
7086:
7083:
7082:
7080:
7078:
7073:
7067:
7064:
7062:
7059:
7057:
7054:
7052:
7049:
7047:
7044:
7043:
7041:
7039:
7035:
7029:
7026:
7024:
7021:
7019:
7016:
7014:
7011:
7009:
7006:
7004:
7001:
6997:
6994:
6993:
6992:
6989:
6988:
6986:
6984:
6980:
6974:
6971:
6969:
6966:
6964:
6961:
6959:
6956:
6954:
6951:
6949:
6946:
6944:
6941:
6939:
6936:
6934:
6931:
6929:
6926:
6925:
6923:
6921:
6917:
6911:
6908:
6906:
6903:
6901:
6898:
6896:
6893:
6891:
6888:
6886:
6883:
6881:
6878:
6876:
6873:
6871:
6868:
6866:
6863:
6862:
6860:
6858:
6854:
6850:
6844:
6841:
6839:
6836:
6834:
6831:
6829:
6826:
6824:
6821:
6820:
6818:
6814:
6808:
6805:
6803:
6800:
6798:
6795:
6793:
6790:
6788:
6785:
6783:
6780:
6779:
6777:
6775:
6771:
6765:
6762:
6760:
6757:
6755:
6754:Dependability
6752:
6750:
6747:
6745:
6742:
6741:
6739:
6735:
6729:
6725:
6722:
6720:
6717:
6715:
6712:
6710:
6707:
6705:
6702:
6700:
6697:
6695:
6692:
6690:
6687:
6685:
6682:
6680:
6677:
6676:
6674:
6672:
6668:
6663:
6657:
6653:
6646:
6641:
6639:
6634:
6632:
6627:
6626:
6623:
6603:
6600:
6598:
6595:
6591:
6588:
6586:
6583:
6582:
6581:
6578:
6574:
6571:
6570:
6569:
6566:
6564:
6561:
6559:
6558:LatticeMico32
6556:
6554:
6551:
6550:
6548:
6546:
6542:
6536:
6533:
6531:
6528:
6526:
6523:
6521:
6518:
6516:
6513:
6511:
6508:
6506:
6503:
6501:
6498:
6497:
6495:
6493:
6489:
6486:
6484:
6478:
6472:
6469:
6467:
6464:
6462:
6459:
6457:
6454:
6452:
6449:
6447:
6444:
6443:
6441:
6437:
6431:
6428:
6426:
6423:
6421:
6418:
6417:
6415:
6411:
6408:
6404:
6398:
6395:
6393:
6390:
6388:
6385:
6383:
6380:
6378:
6375:
6373:
6370:
6368:
6365:
6363:
6360:
6358:
6355:
6353:
6350:
6348:
6345:
6343:
6340:
6338:
6335:
6333:
6330:
6329:
6327:
6323:
6317:
6314:
6312:
6309:
6307:
6304:
6302:
6299:
6297:
6294:
6292:
6289:
6287:
6284:
6282:
6279:
6277:
6274:
6272:
6269:
6267:
6264:
6262:
6259:
6257:
6254:
6252:
6249:
6245:
6242:
6241:
6240:
6239:SystemVerilog
6237:
6233:
6230:
6228:
6225:
6224:
6223:
6220:
6216:
6213:
6211:
6208:
6207:
6206:
6203:
6202:
6200:
6198:
6194:
6188:
6185:
6183:
6180:
6178:
6175:
6173:
6170:
6166:
6163:
6162:
6161:
6158:
6156:
6153:
6151:
6148:
6146:
6143:
6141:
6138:
6136:
6133:
6131:
6128:
6124:
6121:
6120:
6119:
6116:
6114:
6111:
6109:
6106:
6105:
6103:
6099:
6095:
6088:
6083:
6081:
6076:
6074:
6069:
6068:
6065:
6053:
6050:
6048:
6047:Apache Hadoop
6045:
6044:
6042:
6038:
6028:
6025:
6022:
6019:
6016:
6013:
6011:
6008:
6006:
6003:
6002:
6000:
5998:
5994:
5990:
5984:
5981:
5980:
5978:
5976:
5972:
5966:
5963:
5961:
5958:
5956:
5953:
5951:
5948:
5946:
5943:
5941:
5938:
5936:
5933:
5931:
5928:
5926:
5925:HiSiliconK3V3
5923:
5921:
5918:
5916:
5913:
5911:
5908:
5906:
5903:
5901:
5898:
5896:
5893:
5892:
5890:
5888:
5884:
5881:
5879:
5875:
5869:
5866:
5864:
5861:
5859:
5856:
5854:
5851:
5849:
5846:
5844:
5841:
5839:
5836:
5834:
5831:
5829:
5826:
5824:
5821:
5819:
5818:Nvidia Jetson
5816:
5814:
5811:
5809:
5806:
5804:
5801:
5799:
5796:
5794:
5791:
5789:
5786:
5784:
5781:
5779:
5776:
5774:
5771:
5769:
5766:
5764:
5761:
5759:
5756:
5754:
5751:
5749:
5746:
5744:
5743:Arndale Board
5741:
5739:
5736:
5735:
5733:
5729:
5725:
5721:
5714:
5709:
5707:
5702:
5700:
5695:
5694:
5691:
5679:
5676:
5674:
5671:
5669:
5666:
5664:
5661:
5659:
5656:
5654:
5651:
5649:
5646:
5644:
5641:
5639:
5636:
5635:
5633:
5629:
5622:
5619:
5617:
5614:
5612:
5609:
5607:
5604:
5602:
5599:
5597:
5594:
5592:
5589:
5588:
5586:
5584:
5578:
5568:
5565:
5563:
5560:
5558:
5555:
5553:
5550:
5548:
5545:
5541:
5538:
5536:
5533:
5531:
5528:
5527:
5526:
5523:
5522:
5520:
5518:
5514:
5508:
5505:
5501:
5498:
5496:
5493:
5492:
5491:
5488:
5484:
5481:
5480:
5479:
5476:
5474:
5471:
5469:
5468:Demultiplexer
5466:
5464:
5461:
5460:
5458:
5456:
5452:
5446:
5443:
5441:
5438:
5435:
5433:
5430:
5428:
5425:
5423:
5420:
5418:
5415:
5414:
5412:
5410:
5406:
5400:
5397:
5395:
5392:
5390:
5389:Memory buffer
5387:
5385:
5384:Register file
5382:
5380:
5377:
5375:
5372:
5370:
5367:
5366:
5364:
5362:
5358:
5350:
5347:
5345:
5342:
5341:
5340:
5337:
5335:
5332:
5330:
5327:
5325:
5324:Combinational
5322:
5321:
5319:
5317:
5313:
5307:
5304:
5300:
5297:
5296:
5294:
5291:
5289:
5286:
5284:
5281:
5276:
5273:
5271:
5268:
5267:
5265:
5262:
5259:
5256:
5253:
5250:
5247:
5244:
5243:
5241:
5239:
5233:
5227:
5224:
5222:
5219:
5217:
5214:
5212:
5209:
5205:
5202:
5200:
5197:
5195:
5192:
5190:
5187:
5185:
5182:
5180:
5177:
5176:
5175:
5172:
5170:
5167:
5166:
5164:
5160:
5154:
5151:
5149:
5146:
5144:
5141:
5139:
5136:
5135:
5133:
5129:
5121:
5118:
5117:
5116:
5113:
5111:
5108:
5106:
5103:
5101:
5098:
5096:
5093:
5091:
5088:
5086:
5083:
5081:
5078:
5076:
5073:
5071:
5068:
5066:
5063:
5061:
5058:
5056:
5053:
5051:
5048:
5046:
5043:
5042:
5040:
5038:
5034:
5024:
5021:
5019:
5016:
5014:
5011:
5008:
5005:
5002:
4999:
4996:
4993:
4990:
4987:
4985:
4982:
4979:
4976:
4974:
4971:
4969:
4966:
4965:
4963:
4961:
4955:
4948:
4945:
4943:
4940:
4937:
4934:
4931:
4928:
4927:
4925:
4919:
4913:
4910:
4908:
4905:
4903:
4900:
4898:
4895:
4893:
4890:
4888:
4885:
4883:
4880:
4879:
4877:
4873:
4866:
4863:
4860:
4857:
4854:
4851:
4849:
4846:
4844:
4841:
4839:
4836:
4834:
4831:
4829:
4826:
4824:
4821:
4819:
4816:
4814:
4811:
4809:
4806:
4804:
4801:
4797:
4794:
4793:
4791:
4788:
4785:
4782:
4781:
4779:
4777:
4773:
4767:
4764:
4762:
4759:
4756:
4753:
4750:
4747:
4744:
4741:
4738:
4735:
4732:
4729:
4724:
4721:
4720:
4718:
4715:
4713:
4710:
4709:
4707:
4705:
4699:
4687:
4684:
4683:
4682:
4679:
4677:
4674:
4670:
4667:
4665:
4662:
4660:
4657:
4655:
4652:
4651:
4650:
4647:
4645:
4642:
4641:
4639:
4637:
4633:
4627:
4624:
4622:
4619:
4617:
4614:
4610:
4607:
4605:
4602:
4601:
4600:
4597:
4595:
4592:
4591:
4589:
4587:
4583:
4577:
4574:
4572:
4569:
4565:
4562:
4561:
4560:
4557:
4553:
4550:
4548:
4545:
4544:
4543:
4540:
4536:
4533:
4531:
4528:
4527:
4526:
4523:
4521:
4518:
4514:
4511:
4509:
4506:
4505:
4504:
4501:
4500:
4498:
4494:
4491:
4489:
4485:
4475:
4472:
4470:
4467:
4466:
4464:
4462:
4458:
4452:
4449:
4447:
4444:
4440:
4437:
4435:
4432:
4431:
4430:
4427:
4425:
4424:Scoreboarding
4422:
4421:
4419:
4417:
4413:
4407:
4406:False sharing
4404:
4402:
4399:
4397:
4394:
4392:
4389:
4388:
4386:
4384:
4380:
4374:
4371:
4369:
4366:
4364:
4361:
4360:
4358:
4356:
4352:
4349:
4347:
4343:
4333:
4330:
4328:
4325:
4323:
4320:
4317:
4313:
4310:
4308:
4305:
4303:
4300:
4298:
4295:
4294:
4292:
4290:
4287:
4285:
4282:
4280:
4277:
4275:
4272:
4270:
4267:
4265:
4262:
4260:
4257:
4255:
4252:
4250:
4247:
4245:
4242:
4240:
4237:
4235:
4232:
4228:
4225:
4223:
4220:
4218:
4215:
4214:
4212:
4210:
4207:
4205:
4202:
4200:
4199:Stanford MIPS
4197:
4195:
4192:
4190:
4187:
4185:
4182:
4180:
4177:
4175:
4172:
4171:
4169:
4163:
4155:
4152:
4151:
4150:
4147:
4145:
4142:
4140:
4137:
4135:
4132:
4130:
4127:
4125:
4122:
4120:
4117:
4113:
4110:
4109:
4108:
4105:
4101:
4098:
4097:
4096:
4093:
4091:
4088:
4086:
4083:
4081:
4078:
4076:
4073:
4072:
4070:
4066:
4063:
4061:
4060:architectures
4055:
4049:
4046:
4044:
4041:
4039:
4036:
4034:
4031:
4029:
4028:Heterogeneous
4026:
4022:
4019:
4017:
4014:
4013:
4012:
4009:
4007:
4004:
4000:
3997:
3995:
3992:
3990:
3987:
3985:
3982:
3981:
3980:
3979:Memory access
3977:
3975:
3972:
3970:
3967:
3965:
3962:
3960:
3957:
3953:
3950:
3949:
3948:
3945:
3943:
3940:
3938:
3935:
3934:
3932:
3930:
3926:
3918:
3915:
3913:
3912:Random-access
3910:
3908:
3905:
3903:
3900:
3899:
3898:
3895:
3893:
3892:Stack machine
3890:
3888:
3885:
3881:
3878:
3876:
3873:
3871:
3868:
3866:
3863:
3861:
3858:
3856:
3853:
3851:
3848:
3846:
3843:
3842:
3841:
3838:
3834:
3831:
3829:
3826:
3824:
3821:
3819:
3816:
3814:
3811:
3809:
3808:with datapath
3806:
3805:
3804:
3801:
3799:
3796:
3794:
3791:
3790:
3788:
3786:
3782:
3778:
3771:
3766:
3764:
3759:
3757:
3752:
3751:
3748:
3736:
3733:
3731:
3728:
3726:
3723:
3721:
3718:
3716:
3713:
3710:
3707:
3705:
3702:
3698:
3695:
3693:
3690:
3689:
3688:
3685:
3684:
3682:
3678:
3671:
3668:
3665:
3662:
3659:
3656:
3655:
3653:
3649:
3642:
3639:
3636:
3633:
3630:
3627:
3624:
3621:
3620:
3618:
3614:
3608:
3605:
3603:
3600:
3598:
3595:
3593:
3590:
3587:
3584:
3580:
3577:
3575:
3572:
3571:
3570:
3567:
3566:
3564:
3560:
3555:
3548:
3543:
3541:
3536:
3534:
3529:
3528:
3525:
3519:
3516:
3514:
3511:
3508:
3505:
3503:
3499:
3495:
3492:
3489:
3486:
3483:
3479:
3476:
3475:
3465:
3461:
3457:
3451:
3447:
3442:
3438:
3436:0-201-67519-6
3432:
3428:
3427:
3421:
3416:
3412:
3408:
3402:
3398:
3394:
3393:
3387:
3386:
3366:
3362:
3356:
3349:
3345:
3340:
3324:
3320:
3314:
3306:
3300:
3296:
3292:
3291:
3283:
3275:
3271:
3267:
3261:
3257:
3250:
3248:
3246:
3244:
3236:
3230:
3215:
3211:
3205:
3190:
3189:Tayden Design
3183:
3176:
3169:
3156:
3152:
3146:
3139:
3135:
3130:
3115:
3114:
3109:
3102:
3086:
3082:
3076:
3068:
3064:
3060:
3054:
3050:
3043:
3041:
3039:
3037:
3035:
3033:
3031:
3022:
3018:
3014:
3008:
3004:
3000:
2993:
2991:
2989:
2987:
2985:
2976:
2972:
2968:
2966:0-201-67519-6
2962:
2958:
2951:
2949:
2947:
2931:
2927:
2921:
2906:
2902:
2896:
2881:
2877:
2871:
2863:
2859:
2853:
2838:
2834:
2828:
2813:
2809:
2803:
2792:September 25,
2788:
2784:
2777:
2770:
2766:
2760:
2745:
2741:
2737:
2730:
2722:
2721:Network World
2718:
2711:
2707:
2693:
2689:
2685:
2681:
2677:
2673:
2669:
2665:
2659:
2655:
2645:
2642:
2639:
2636:
2634:
2631:
2629:
2626:
2623:
2620:
2618:
2617:Lab-on-a-chip
2615:
2613:
2610:
2607:
2604:
2602:
2599:
2597:
2594:
2592:
2589:
2587:
2584:
2582:
2579:
2577:
2574:
2572:
2569:
2567:
2564:
2562:
2559:
2558:
2552:
2550:
2539:
2530:
2526:
2523:This section
2521:
2518:
2514:
2513:
2503:
2500:
2497:
2494:
2491:
2488:
2486:
2483:
2481:
2478:
2477:
2476:
2468:
2465:
2461:
2457:
2452:
2450:
2446:
2442:
2437:
2434:
2427:
2424:
2421:
2420:Standard cell
2418:
2416:
2413:
2410:
2409:
2408:
2405:
2401:
2399:
2395:
2391:
2385:
2374:
2371:
2363:
2353:
2349:
2343:
2342:
2337:This section
2335:
2331:
2326:
2325:
2317:
2315:
2312:of the SoC's
2311:
2307:
2303:
2302:discrete time
2299:
2298:Markov chains
2292:Markov chains
2289:
2287:
2283:
2279:
2275:
2274:Markov chains
2271:
2267:
2257:
2255:
2251:
2247:
2243:
2239:
2235:
2231:
2224:
2214:
2212:
2208:
2203:
2201:
2197:
2193:
2189:
2186:and increase
2185:
2181:
2177:
2173:
2164:
2162:
2158:
2147:
2138:
2134:
2131:This section
2129:
2125:
2120:
2119:
2115:
2111:
2107:
2100:Methodologies
2097:
2095:
2091:
2086:
2084:
2080:
2076:
2072:
2068:
2064:
2053:
2044:
2040:
2037:This section
2035:
2032:
2028:
2027:
2019:
2017:
2006:
1997:
1993:
1990:This section
1988:
1985:
1981:
1980:
1972:
1970:
1966:
1962:
1958:
1954:
1950:
1945:
1943:
1939:
1935:
1930:
1928:
1927:metastability
1924:
1920:
1916:
1912:
1908:
1904:
1900:
1896:
1895:power density
1892:
1888:
1885:
1879:
1875:
1869:
1859:
1857:
1853:
1849:
1845:
1841:
1835:
1825:
1823:
1819:
1815:
1811:
1807:
1803:
1799:
1795:
1791:
1787:
1783:
1779:
1775:
1771:
1767:
1750:
1743:
1739:
1734:
1729:
1724:
1720:
1714:
1711:
1708:
1705:
1702:
1694:
1692:
1688:
1684:
1680:
1676:
1672:
1668:
1664:
1660:
1656:
1652:
1648:
1644:
1640:
1625:
1623:
1619:
1614:
1612:
1608:
1604:
1600:
1596:
1592:
1588:
1584:
1579:
1577:
1573:
1569:
1565:
1555:
1553:
1549:
1546:and precedes
1545:
1541:
1537:
1533:
1529:
1524:
1520:
1516:
1514:
1510:
1506:
1502:
1498:
1494:
1490:
1485:
1483:
1479:
1475:
1471:
1470:SystemVerilog
1467:
1463:
1459:
1455:
1449:
1445:
1435:
1433:
1429:
1425:
1421:
1416:
1414:
1410:
1406:
1402:
1398:
1394:
1390:
1386:
1382:
1378:
1374:
1369:
1367:
1363:
1359:
1355:
1351:
1347:
1343:
1339:
1335:
1330:
1328:
1324:
1320:
1316:
1307:
1302:
1298:
1292:
1288:
1284:
1273:
1270:
1262:
1252:
1248:
1242:
1241:
1236:This section
1234:
1230:
1225:
1224:
1216:
1214:
1210:
1209:floorplanning
1204:
1202:
1198:
1194:
1190:
1186:
1185:tree networks
1182:
1178:
1174:
1170:
1166:
1162:
1158:
1154:
1150:
1146:
1142:
1137:
1135:
1131:
1127:
1123:
1119:
1115:
1111:
1105:
1095:
1093:
1089:
1085:
1081:
1076:
1074:
1070:
1066:
1062:
1058:
1054:
1052:
1048:
1038:
1036:
1032:
1028:
1027:microcomputer
1024:
1020:
1016:
1006:
1004:
1000:
996:
992:
988:
984:
979:
977:
973:
969:
965:
964:clock signals
961:
951:
949:
945:
941:
937:
932:
930:
926:
922:
918:
914:
910:
906:
902:
898:
897:data analysis
894:
890:
886:
882:
878:
869:
867:
863:
859:
855:
851:
847:
843:
839:
834:
832:
828:
824:
820:
816:
812:
808:
804:
800:
796:
792:
788:
784:
780:
770:
768:
764:
760:
756:
752:
748:
744:
740:
736:
732:
728:
724:
720:
716:
712:
708:
704:
700:
696:
692:
688:
684:
678:
668:
666:
663:specified as
662:
658:
654:
650:
646:
642:
638:
634:
630:
615:
613:
609:
608:software code
605:
601:
591:
589:
585:
581:
577:
574:
570:
565:
563:
559:
556:produced the
555:
540:
537:
536:
534:
531:
526:
522:
518:
514:
511:
510:
508:
505:
500:
496:
493:
492:
491:
487:
483:
480:
479:
478:
476:
472:
468:
464:
460:
456:
450:
446:
441:
427:
424:
421:
419:Zynq 7000 SoC
418:
417:
416:
413:
412:
411:
409:
405:
401:
397:
393:
389:
385:
381:
377:
367:
365:
361:
348:
344:
341:
337:
334:
330:
329:
328:
322:
318:
309:
307:
303:
299:
295:
294:smart devices
291:
286:
284:
280:
276:
272:
268:
263:
261:
257:
253:
249:
245:
241:
237:
233:
228:
226:
222:
218:
214:
210:
206:
201:
199:
196:
192:
188:
184:
180:
176:
172:
168:
164:
160:
156:
152:
148:
144:
138:
106:
100:
71:
67:
63:
56:
52:
47:
40:
36:
32:
27:
19:
7888:Neuromorphic
7827:
7751:Cryptography
7707:Applications
7562:Cyberwarfare
7221:Cryptography
6698:
6515:LatticeMico8
6505:ARM Cortex-M
6481:Intellectual
6112:
5877:
5853:Raspberry Pi
5808:Nvidia Drive
5763:Cotton Candy
5678:Chip carrier
5616:Clock gating
5535:Mixed-signal
5432:Write buffer
5409:Control unit
5221:Clock signal
4960:accelerators
4942:Cypress PSoC
4929:
4599:Simultaneous
4416:Out-of-order
4048:Neuromorphic
3929:Architecture
3887:Belt machine
3880:Zeno machine
3813:Hierarchical
3651:Alternatives
3553:
3445:
3425:
3391:
3368:. Retrieved
3364:
3355:
3339:
3327:. Retrieved
3322:
3313:
3289:
3282:
3255:
3229:
3217:. Retrieved
3213:
3204:
3192:. Retrieved
3188:
3175:
3166:
3159:. Retrieved
3154:
3145:
3129:
3117:. Retrieved
3111:
3101:
3089:. Retrieved
3084:
3075:
3048:
2998:
2956:
2933:. Retrieved
2929:
2920:
2908:. Retrieved
2904:
2895:
2883:. Retrieved
2879:
2870:
2861:
2852:
2840:. Retrieved
2836:
2827:
2815:. Retrieved
2811:
2802:
2790:. Retrieved
2786:
2776:
2759:
2749:December 17,
2747:. Retrieved
2740:Ars Technica
2739:
2729:
2720:
2710:
2688:Raspberry Pi
2686:such as the
2658:
2601:Cypress PSoC
2546:
2536:October 2018
2533:
2529:adding to it
2524:
2474:
2453:
2445:lower yields
2438:
2435:
2431:
2406:
2402:
2387:
2366:
2357:
2346:Please help
2341:verification
2338:
2295:
2278:Little's law
2263:
2227:
2213:algorithms.
2204:
2170:
2154:
2144:October 2018
2141:
2137:adding to it
2132:
2087:
2060:
2050:October 2018
2047:
2043:adding to it
2038:
2013:
2003:October 2018
2000:
1996:adding to it
1991:
1946:
1931:
1923:wire bonding
1913:, decreased
1881:
1837:
1782:smartwatches
1695:
1675:average rate
1643:battery life
1636:
1615:
1580:
1561:
1525:
1521:
1517:
1486:
1451:
1420:architecture
1417:
1370:
1331:
1312:
1265:
1256:
1245:Please help
1240:verification
1237:
1205:
1201:time to live
1138:
1107:
1077:
1061:control unit
1055:
1053:) standard.
1047:computer bus
1044:
1019:instructions
1012:
980:
962:to generate
957:
948:convolutions
933:
875:
860:, including
848:, often for
835:
776:
719:flash memory
680:
626:
602:, including
597:
566:
551:
471:flash memory
453:
373:
357:
354:Applications
345:Specialized
326:
287:
264:
256:coprocessors
229:
223:(especially
202:
191:mixed-signal
171:radio modems
163:input/output
161:interfaces,
104:
69:
65:
61:
59:
55:Raspberry Pi
31:
26:
7572:Video games
7552:Digital art
7309:Concurrency
7178:Data mining
7090:Probability
6823:Interpreter
6545:Open-source
6492:Proprietary
6301:Flow to HDL
6123:Logic block
5965:WonderMedia
5758:BeagleBoard
5463:Multiplexer
5427:Data buffer
5138:Single-core
5110:bit slicing
4968:Coprocessor
4823:Coprocessor
4704:performance
4626:Cooperative
4616:Speculative
4576:Distributed
4535:Superscalar
4520:Instruction
4488:Parallelism
4461:Speculative
4293:System/3x0
4165:Instruction
3942:Von Neumann
3855:Post–Turing
3579:controllers
3507:Instant SoC
3500:seminar in
3329:October 17,
2935:October 13,
2842:October 13,
2817:October 13,
2692:peripherals
2678:such as an
2447:and higher
2412:Full custom
2320:Fabrication
2079:NP-complete
1965:heat fluxes
1907:reliability
1901:to further
1780:(including
1770:smartphones
1653:SoCs being
1647:smartphones
1401:flow to HDL
1360:tools; the
1323:design flow
1219:Design flow
1134:bottlenecks
983:peripherals
903:(VLIW) and
743:main memory
737:and cores'
727:dynamic RAM
569:integration
382:, embedded
298:smartphones
260:peripherals
7963:Categories
7919:chronology
7780:Networking
7630:Glossaries
7502:E-commerce
7095:Statistics
7038:Algorithms
6996:Stochastic
6828:Middleware
6684:Peripheral
6520:MicroBlaze
6471:Simulators
6451:Xilinx ISE
5843:Parallella
5833:PandaBoard
5803:LattePanda
5773:Cubieboard
5583:management
5478:Multiplier
5339:Logic gate
5329:Sequential
5236:Functional
5216:Clock rate
5189:Data cache
5162:Components
5143:Multi-core
5131:Core count
4621:Preemptive
4525:Pipelining
4508:Bit-serial
4451:Wide-issue
4396:Structural
4318:Tilera ISA
4284:MicroBlaze
4254:ETRAX CRIS
4149:Comparison
3994:Load–store
3974:Endianness
3692:chronology
3562:Components
3419:465 pages.
3370:October 8,
3323:numato.com
3219:October 7,
3194:October 7,
3119:October 8,
3091:October 8,
2910:October 6,
2885:October 6,
2744:Conde Nast
2702:References
2508:Benchmarks
2464:waste heat
2390:fabricated
2360:March 2017
2217:Pipelining
2067:laying out
2016:throughput
1975:Throughput
1899:bottleneck
1884:waste heat
1872:See also:
1862:Waste heat
1832:See also:
1776:, digital
1691:resistance
1663:waste heat
1611:trade-offs
1599:heuristics
1566:, area on
1536:glue logic
1432:glue logic
1295:See also:
1259:March 2017
1128:known as "
1065:throughput
1005:circuits.
985:including
779:interfaces
773:Interfaces
747:multi-core
723:static RAM
689:and other
582:and other
525:Windows 10
513:Snapdragon
232:integrates
193:and often
7909:Processor
7863:Multicore
7451:Rendering
7446:Animation
7077:computing
7028:Semantics
6719:Processor
6590:Microwatt
6585:Libre-SOC
6580:Power ISA
6563:OpenCores
6525:PicoBlaze
6332:Accellera
6325:Companies
6197:Languages
5900:Allwinner
5828:OLinuXino
5793:Hawkboard
5753:Banana Pi
5517:Circuitry
5437:Microcode
5361:Registers
5204:coherence
5179:CPU cache
5037:Word size
4702:Processor
4346:Execution
4249:DEC Alpha
4227:Power ISA
4043:Cognitive
3850:Universal
3687:Processor
3464:895661009
3274:934678500
3168:meanings.
3161:April 30,
3085:EEJournal
3067:895661009
3021:869378184
2176:processes
1687:Ohm's law
1645:(such as
1564:power use
1515:(ASICs).
1509:debugging
1489:emulation
1418:Once the
1177:hypercube
1114:bus-based
889:actuators
858:actuators
823:Bluetooth
703:low-power
643:(DSP) or
606:that run
594:Structure
552:In 1992,
539:Dimensity
447:SC450 in
392:telemetry
308:markets.
227:modems).
185:and also
179:substrate
149:or other
7868:Manycore
7853:Dataflow
7806:C to HDL
7610:Category
7438:Graphics
7213:Security
6875:Compiler
6774:Networks
6671:Hardware
6568:OpenRISC
6483:property
6461:ModelSim
6439:Software
6413:Hardware
6406:Products
6392:Synopsys
6362:Infineon
6337:Achronix
6296:C to HDL
6261:Handel-C
6101:Concepts
6040:Software
6005:AMD Élan
5950:Rockchip
5940:NovaThor
5930:MediaTek
5910:Apple M1
5858:Snowball
5455:Datapath
5148:Manycore
5120:variable
4958:Hardware
4594:Temporal
4274:OpenRISC
3969:Cellular
3959:Dataflow
3952:modified
3415:50478525
3361:"COSMIC"
3344:EE Times
3134:EE Times
3113:EE Times
3003:Springer
2975:44267964
2930:Qualcomm
2812:Embedded
2765:EE Times
2555:See also
2490:Adapteva
2471:Examples
2071:locality
1897:are the
1814:3D video
1786:netbooks
1768:such as
1667:integral
1651:embedded
1572:locality
1554:(ASIC).
1548:tape-out
1497:tape-out
1413:IP cores
1397:C to HDL
1375:such as
1315:hardware
1195:such as
1171:such as
1155:and the
1092:manycore
1031:data bus
915:through
791:Ethernet
665:IP cores
573:firmware
533:MediaTek
523:running
507:Qualcomm
445:AMD Élan
296:such as
147:computer
141:) is an
51:Broadcom
39:Apple M1
7897:Related
7724:DirectX
7620:Outline
6535:Nios II
6425:Stratix
6387:Siemens
6372:Lattice
6357:Cadence
6251:SystemC
6205:Verilog
5935:Nomadik
5895:Actions
5813:Nano Pi
5788:Gumstix
5783:Galileo
5738:Arduino
5731:Devices
5631:Related
5562:Quantum
5552:Digital
5547:Boolean
5445:Counter
5344:Quantum
5105:512-bit
5100:256-bit
5095:128-bit
4938:(MPSoC)
4923:on chip
4921:Systems
4739:(FLOPS)
4552:Process
4401:Control
4383:Hazards
4269:Itanium
4264:Unicore
4222:PowerPC
3947:Harvard
3907:Pointer
3902:Counter
3860:Quantum
3680:Related
3631:(MPSoC)
3480:Annual
2680:Arduino
2561:Chiplet
2460:package
2451:costs.
2300:, both
2234:speedup
2180:threads
2063:latency
2022:Latency
1810:quality
1778:watches
1683:voltage
1679:current
1628:Targets
1587:NP-hard
1540:printed
1532:netlist
1474:SystemC
1426:termed
1385:SystemC
1203:(TTL).
1141:routing
1124:-based
987:counter
907:(SIMD)
885:sensors
866:modules
854:sensors
827:6LoWPAN
709:(ROM),
576:modules
292:(as in
279:modules
230:An SoC
183:digital
157:(CPU),
7914:design
7773:scrypt
7680:Theory
6597:RISC-V
6456:Vivado
6430:Virtex
6316:Chisel
6281:PALASM
6165:Xputer
6052:Linaro
6023:-based
6017:-based
6015:Jaguar
5997:x86-64
5915:Exynos
5868:Vaaman
5848:Rascal
5838:Pine64
5823:ODROID
5798:IGEPv2
5778:Edison
5567:Switch
5557:Analog
5295:(IMC)
5266:(MMU)
5115:others
5090:64-bit
5085:48-bit
5080:32-bit
5075:24-bit
5070:16-bit
5065:15-bit
5060:12-bit
4897:Mobile
4813:Stream
4808:Barrel
4803:Vector
4792:(GPU)
4751:(SUPS)
4719:(IPC)
4571:Memory
4564:Vector
4547:Thread
4530:Scalar
4332:Others
4279:RISC-V
4244:SuperH
4213:Power
4209:MIPS-X
4184:PDP-11
4033:Fabric
3785:Models
3697:design
3637:(PSoC)
3462:
3452:
3433:
3413:
3403:
3301:
3272:
3262:
3065:
3055:
3019:
3009:
2973:
2963:
2862:iFixit
2608:(ASIP)
2581:RISC-V
2496:Xilinx
2428:(FPGA)
2392:using
2272:, and
2112:, and
1887:output
1784:) and
1620:, see
1381:MATLAB
1289:, and
1181:meshes
1122:router
991:timers
946:, and
838:analog
717:) and
715:EEPROM
671:Memory
639:(μP),
578:, and
499:Galaxy
495:Exynos
459:caches
304:) and
221:modems
187:analog
173:and a
159:memory
103:; pl.
7731:Audio
7719:GPGPU
7023:Logic
6857:tools
6367:Intel
6347:Aldec
6306:MyHDL
6232:VITAL
6027:Quark
5960:Tegra
5623:(PPW)
5581:Power
5473:Adder
5349:Array
5316:Logic
5277:(TLB)
5260:(FPU)
5254:(AGU)
5248:(ALU)
5238:units
5174:Cache
5055:8-bit
5050:4-bit
5045:1-bit
5009:(TPU)
5003:(DSP)
4997:(PPU)
4991:(VPU)
4980:(GPU)
4949:(NoC)
4932:(SoC)
4867:(PoP)
4861:(SiP)
4855:(MCM)
4796:GPGPU
4786:(CPU)
4776:Types
4757:(PPW)
4745:(TPS)
4733:(IPS)
4725:(CPI)
4496:Level
4307:S/390
4302:S/370
4297:S/360
4239:SPARC
4217:POWER
4100:TRIPS
4068:Types
3711:(DSP)
3672:(PoP)
3666:(SiP)
3660:(MCM)
3643:(MCU)
3625:(NoC)
3616:Types
3588:(GPU)
3574:cores
3556:(SoC)
3185:(PDF)
2650:Notes
2090:tasks
1820:with
1671:power
1605:, so
1468:like
1173:torus
1151:like
954:Other
819:Wi-Fi
795:USART
731:cache
312:Types
248:Wi-Fi
205:LPDDR
53:in a
7823:CPLD
7818:ASIC
7813:FPGA
7785:Data
6855:and
6728:Form
6724:Size
6573:1200
6530:Nios
6510:LEON
6311:ELLA
6291:CUPL
6286:ABEL
6266:Lola
6256:AHDL
6222:VHDL
6155:PSoC
6135:EPLD
6130:CPLD
6118:FPGA
6108:ASIC
6021:Puma
6010:Atom
5975:MIPS
5945:OMAP
5920:i.MX
5878:SoCs
5863:UDOO
5768:CHIP
5722:and
5601:ACPI
5334:Glue
5226:FIFO
5169:Core
4907:ASIP
4848:CPLD
4843:FPOA
4838:FPGA
4833:ASIC
4686:SPMD
4681:MIMD
4676:MISD
4669:SWAR
4649:SIMD
4644:SISD
4559:Data
4542:Task
4513:Word
4259:M32R
4204:MIPS
4167:sets
4134:ZISC
4129:NISC
4124:OISC
4119:MISC
4112:EPIC
4107:VLIW
4095:EDGE
4085:RISC
4080:CISC
3989:HUMA
3984:NUMA
3720:FPGA
3704:CPLD
3607:ASIC
3502:VLSI
3488:Baya
3482:IEEE
3478:SOCC
3460:OCLC
3450:ISBN
3431:ISBN
3411:OCLC
3401:ISBN
3372:2018
3331:2018
3299:ISBN
3270:OCLC
3260:ISBN
3221:2018
3196:2018
3163:2018
3121:2018
3093:2018
3063:OCLC
3053:ISBN
3017:OCLC
3007:ISBN
2971:OCLC
2961:ISBN
2937:2018
2912:2018
2887:2018
2844:2018
2819:2018
2794:2018
2751:2023
2670:for
2547:SoC
2422:ASIC
2415:ASIC
2304:and
2284:and
2242:GPUs
2209:and
2190:for
2088:For
1876:and
1850:and
1482:Bugs
1446:and
1299:and
1183:and
1051:AMBA
1001:and
993:and
981:SoC
974:and
919:and
844:and
829:and
803:HDMI
765:and
697:and
517:list
486:list
398:and
300:and
250:and
225:WWAN
213:eMMC
209:eUFS
207:and
105:SoCs
7756:TLS
7714:GPU
6602:Zet
6553:JOP
6500:ARC
6466:VTR
6420:iCE
6382:NXP
6352:Arm
6342:AMD
6276:UPF
6271:PSL
6244:DPI
6227:AMS
6215:AMS
6150:GAL
6145:PAL
6140:PLA
6113:SoC
5993:x86
5887:ARM
5596:APM
5591:PMU
5483:CPU
5440:ROM
5211:Bus
4828:PAL
4503:Bit
4289:LMC
4194:ARM
4189:x86
4179:VAX
3346:. "
3136:. "
2767:. "
2682:or
2672:PCs
2662:In
2531:.
2350:by
2236:in
2178:or
2139:.
2045:.
1998:.
1816:at
1681:by
1669:of
1597:or
1568:die
1399:or
1389:RTL
1383:or
1377:C++
1350:USB
1249:by
1191:to
1187:to
1153:TCP
856:or
811:CSI
807:I²C
799:SPI
787:USB
590:).
580:LTE
562:ARM
490:ARM
415:AMD
244:GPU
211:or
70:SoC
64:or
7965::
6726:/
5983:Jz
5905:Ax
5530:3D
3496:,
3458:.
3409:.
3399:.
3363:.
3321:.
3293:.
3268:.
3242:^
3212:.
3187:.
3165:.
3153:.
3110:.
3083:.
3061:.
3029:^
3015:.
3005:.
3001:.
2983:^
2969:.
2945:^
2928:.
2903:.
2878:.
2860:.
2835:.
2810:.
2785:.
2742:.
2738:.
2719:.
2288:.
2268:,
2202:.
2108:,
2085:.
2018:.
1971:.
1925:,
1921:,
1917:,
1846:,
1800:,
1772:,
1693::
1624:.
1499:.
1476:,
1472:,
1434:.
1415:.
1379:,
1368:.
1285:,
1179:,
1175:,
1082:,
978:.
950:.
942:,
938:,
895:,
891:,
887:,
825:,
821:,
809:,
805:,
801:,
797:,
793:,
789:,
769:.
667:.
635:,
509::
484::
461:,
394:,
390:,
386:,
262:.
246:,
238:,
234:a
189:,
131:iː
125:oʊ
96:iː
90:oʊ
60:A
7664:e
7657:t
7650:v
6664:.
6644:e
6637:t
6630:v
6210:A
6086:e
6079:t
6072:v
5995:/
5712:e
5705:t
5698:v
3769:e
3762:t
3755:v
3546:e
3539:t
3532:v
3466:.
3439:.
3417:.
3374:.
3333:.
3307:.
3276:.
3223:.
3198:.
3123:.
3095:.
3069:.
3023:.
2977:.
2939:.
2914:.
2889:.
2846:.
2821:.
2796:.
2753:.
2723:.
2538:)
2534:(
2373:)
2367:(
2362:)
2358:(
2344:.
2244:(
2225:.
2146:)
2142:(
2052:)
2048:(
2005:)
2001:(
1751:R
1744:2
1740:I
1735:=
1730:R
1725:2
1721:V
1715:=
1712:V
1709:I
1706:=
1703:P
1478:e
1272:)
1266:(
1261:)
1257:(
1243:.
515:(
335:,
137:/
134:z
128:s
122:s
119:ɛ
116:ˈ
113:ˌ
110:/
99:/
93:s
87:s
84:ɛ
81:ˈ
78:ˌ
75:/
68:(
20:)
Text is available under the Creative Commons Attribution-ShareAlike License. Additional terms may apply.